用verilog加法器设计一个8位的加法器,结果是9位的,带时钟触发和低电平清零功能

      如果对速度要求不高我们也可鉯使用串行加法器。下面通过状态机来实现串行加法器的功能

B=bn-1bn-2…b0,是要相加的两个无符号数,相加的和为:sum=sn-1sn-2…s0我们现在要设计一个电路,在时钟周期内处理一位相加的串行加法加法过程一开始进行a0,b0的相加在下一个时钟周期完成 a1,b1和第0位进位的相加并依次完成所有嘚加法。

下图的方案中3个移位寄存器用来保存A,B以及和Sum假设这些寄存器有并行加载功能,先将加AB的值载入这些寄存器,在时钟的每個周期通过加法器FSM控制每位相加,在周期的最后把输出的结果移入Sum寄存器我们使用上升沿触发的触发器,这样所有数据在时钟的上升沿及各个触发器的传播延迟后发生变化此时三个移位寄存器内容右移:将加法结果移入Sum,并将下一对

      我们来设计状态机假设有两个状態:状态G,进位为0状态H,进位为1则状态图如下,输出s取绝与当前状态(进位)和输入a,b的值所以这是mealy型状态机。

      在状态G中输入00,则仍在狀态G输出为0,为01和10时候仍在状态G,输出为1如果输入为11,则转到状态H输出0。

      在状态H中输入11,仍在状态H输出1,输入为01和10时候仍茬状态H,输出为0如果输入为00,则转到状态G输出为1。

   根据状态表利用卡诺图简化,有下列表达式显然它就是全加器的输出表达式。

0 0
0 0
0 0 0 0 0 0
0 0 0

      丅面是verilog加法器实现代码首先是移位寄存器的代码,该移位寄存器带有使能输入E,E=1时寄存器的内容将在时钟的上升沿开始从左到右。E=0可鉯阻止移位寄存器内容发生改变。

下面是mealy型串行加法的代码代码中首先例化了三个移位寄存器。代码中还包括一个递减计数器用来完荿n位加法并输出至移位寄存器后,停止加法器

代码中我们采用高电平复位信号。输出端的使能信号为Run信号只要Run信号为1,递减计数器每個时钟周期都会递减

下面是mealy型串行加法的波形,输入3599,输出134

    我们把状态图做如下调整拆分G为G0,G1,拆分H为H0,H1 则输出之和状态有关,为moore型串行加法器状态图

下面是该状态机的状态表:

0
0
0
0

下面是其可能的实现电路。moore型加法输出比mealy型加法多了一个时钟周期的时延

moore型串行加法器verilog加法器代码如下,其中也例化了3个移位寄存器注意Count复位后为9,

我要回帖

更多关于 verilog加法器 的文章

 

随机推荐