vhdl分频器器|N代表输出还是输入

用Verilog语言实现任意整数分频器
分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁相环资源,如赛灵思(Xilinx)的DLL.来进行时钟的分频,倍频以及相移。但是对于时钟要求不高的基本设计,通过语言进行时钟的分频相移仍然非常流行,首先这种方法可以节省芯片内部的锁相环资源,再者,消耗不多的逻辑单元就可以达到对时钟操作的目的。另一方面,通过语言设计进行时钟分频,可以看出设计者对设计语言的理解程度。因此很多招聘单位在招聘时往往要求应聘者写一个分频器(比如奇数分频)以考核应聘人员的设计水平和理解程度。下面讲讲对各种分频系数进行分频的方法:
第一,偶数倍分频:偶数倍分频应该是大家都比较熟悉的分频,通过计数器计数是完全可以实现的。如进行N倍偶数分频,那么可以通过由待分频的时钟触发计数器计数,当计数器从0计数到N/2-1时,输出时钟进行翻转,并给计数器一个复位信号,使得下一个时钟从零开始计数。以此循环下去。这种方法可以实现任意的偶数分频。电路上只需一个D触发器和一个非门即可实现,Q(n+1)=D,D=~Q(n),clk_out=Q(n+1)
第二,奇数倍分频:奇数倍分频常常在论坛上有人问起,实际上,奇数倍分频有两种实现方法:
占空比为非50%的三分频时钟,完全可以通过计数器来实现,如进行三分频,通过待分频时钟上升沿触发计数器进行模三计数,当计数器计数到邻近值进行两次翻转,比如可以在计数器计数到1时,输出时钟进行翻转,计数到2时再次进行翻转。即是在计数值在邻近的1和2进行了两次翻转。这样实现的三分频占空比为1/3或者2/3。
three(clk_in,rst,clk_out);&
input clk_in,
output clk_
reg [1:0]&
always @(negedge rst or posedge clk_in)
if(rst==0)
clk_out&=0;
count&=count+1;&
if(count==1)
clk_out&=~clk_
else if(count==2)
clk_out=~clk_
另一种实现:
div3(CLKIN,CLKOUT,RESETn);&
input CLKIN,RESETn;&
output CLKOUT;&
wire&&&&&&&&
always @(negedge RESETn or posedge
if (RESETn==1'b0)&
q1&=1'b0;&
//q1是d延迟一个时钟后的信号
always @(negedge RESETn or posedge
if (RESETn==1'b0)&
q2&=1'b0;&
//q2是q1延迟一个时钟后的信号
assign d=~q1 & ~q2;& //d在一个周期内,一个clk为高,另外两个clk为低
assign CLKOUT=q2;&
电路中,利用两个D触发器和简单的门电路即可实现。
如果要实现占空比为50%的三分频时钟,可以通过待分频时钟下降沿触发计数,和上升沿同样的方法计数进行三分频,然后下降沿产生的三分频时钟和上升沿产生的时钟进行相或运算,即可得到占空比为50%的三分频时钟。
这种方法可以实现任意的奇数分频。归类为一般的方法为:对于实现占空比为50%的N倍奇数分频,首先进行上升沿触发进行模N计数,计数选定到某一个值进行输出时钟翻转,然后经过(N-1)/2再次进行翻转得到一个占空比非50%奇数n分频时钟。再者同时进行下降沿触发的模N计数,到和上升沿触发输出时钟翻转选定值相同值时,进行输出时钟时钟翻转,同样经过(N-1)/2时,输出时钟再次翻转生成占空比非50%的奇数n分频时钟。两个占空比非50%的n分频时钟相或运算,得到占空比为50%的奇数n分频时钟。
举例:用Verilog语言写的三分频电路
//上升沿触发的分频设计
module three(clkin, clkout);
//定义输入端口
//定义输出端?
reg [1:0] step1,
always @(posedgeclkin)
case (step)&&
//这个状态机就是一个计数器
2'b00: step&=2'b01;
2'b01: step&=2'b10;
2'b10: step&=2'b00;
default :step&=2'b00;
always @(negedgeclkin)&&//step1与step相差半个clk
case (step1)
2'b00: step1&=2'b01;
2'b01: step1&=2'b10;
2'b10: step1&=2'b00;
default :step1&=2'b00;
assign clkout=step[1] |
step1[1];&//利用step和step1高位的或运算,实现在1.5个clk时翻转。
用Verilog语言写五分频电路,占空比为50%:
module div_5 ( clkin,rst,clkout
input clkin,
reg [2:0] step1, step2;
always @(posedge clkin )
step1&=3'b000;
case (step1)
3'b000: step1&=3'b001;
3'b001: step1&=3'b011;
3'b011: step1&=3'b100;
3'b100: step1&=3'b010;
3'b010: step1&=3'b000;
default:step1&=3'b000;
always @(negedge clkin )
step2&=3'b000;
begincase (step2)
3'b000: step2&=3'b001;
step2&=3'b011;&//注意调换了顺序,目的为了使最低位为1的情况互邻
3'b011: step2&=3'b100;
3'b100: step2&=3'b010;
3'b010: step2&=3'b000;
default:step2&=3'b000;
assign clkout=step1[0] |
step2[0];&//step1与step2
最低位相或
下面给出一个任意整数分频器的代码:
module divn(clk,rst_n,o_clk);
input clk,rst_n;
parameter WIDTH = 3;
parameter N = 5;
reg [WIDTH-1:0] cnt_p,cnt_n;&&
//count_pose,count_nege
reg clk_p,clk_n;
assign o_clk = (N==1)? clk : (N[0])?(clk_p&clk_n)
//如果N=1,o_clk= 如果N为偶数,o_clk=clk_p; 如果N为奇数,o_clk=clk_p
//之所以是相与运算,是因为clk_p和clk_n两者高电平比低电平多一个clk,而两者相差半个clk,相与结果使//o_clk占空比为50%
always @ (posedge clk or negedge rst_n)
if(!rst_n)
else if (cnt_p==(N-1))
else cnt_p&=cnt_p+1;
always @ (posedge clk or negedge rst_n)
if(!rst_n)
(cnt_p&(N&&1))&
//N&&1,计数到N/2时,时钟翻转。如果将
cnt_p& 改成
cnt_p&=,则clk_p低电平比//高电平多一个clk,如果clk_n也做类似修改,则N为奇数时,应执行相或运算,
o_clk=clk_p | clk_n
else clk_p&=1;
always @ (negedge clk or negedge rst_n)
if(!rst_n)
else if (cnt_n==(N-1))
else cnt_n&=cnt_n+1;
always @ (negedge clk or negedge rst_n)
if(!rst_n)
(cnt_n&(N&&1))
else clk_n&=1;
另外一种方法:对进行奇数倍n分频时钟,首先进行n/2分频(带小数,即等于(n-1)/2+0.5),然后再进行二分频得到。得到占空比为50%的奇数倍分频。
下面讲讲进行小数分频的设计方法
小数分频:首先讲讲如何进行n+0.5分频,这种分频需要对输入时钟进行操作。基本的设计思想:对于进行
n+0.5分频,首先进行模n的计数,在计数到n-1时,输出时钟赋为‘1’,回到计数0时,又赋为0,因此,可以知道,当计数值为n-1时,输出时钟才
为1,因此,只要保持计数值n-1为半个输入时钟周期,即实现了n+0.5分频时钟,因此保持n-1为半个时钟周期即是一个难点。从中可以发现,因为计数
器是通过时钟上升沿计数,因此可以在计数为n-1时对计数触发时钟进行翻转,那么时钟的下降沿变成了上升沿。即在计数值为n-1期间的时钟下降沿变成了上
升沿,则计数值n-1只保持了半个时钟周期,由于时钟翻转下降沿变成上升沿,因此计数值变为0。因此,每产生一个n+0.5分频时钟的周期,触发时钟都是
要翻转一次.
// 如果duty cycle =50%, 可以第一个周期
第二个周期输出原先clock,第三个周期输出低
这样可以实现三分频,
输出是占空比1:1的三分频.
module three(clk,throut) ;
reg q1,q2,d,
always @(posedgeclk)
always @(negedgeclk)
q2=~q2 ;&&
always @(q1 or q2)
//d在一个周期内,0.5个clk为高,1个clk为低
always @(posedge d)
任意整数带小数分频的设计
基本原理:
采用脉冲吞吐计数器和锁相环技术先设计两个不同分频比的整数分频器。
然后通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数分频值。
若设计一个分频系数为10.1的分频器,即可以将分频器设计成9次10分频和1次11分频,这样,总的分频值为:
F=(9*10+1*11)/(9+1)=10.1
从这种实现方法的特点可以看出,由于分频器的分频值不断改变,分频后得到的信号抖动一般较大,在设计中使用的非常少。
已投稿到:
以上网友发言只代表其个人观点,不代表新浪网的观点或立场。请问用EP3C5E144C8N做一个分频器,他能输入的最大频率是多少呢? - 【Altera SoC】 -
电子工程世界-论坛
后使用快捷导航没有帐号?
查看: 1199|回复: 4
请问用EP3C5E144C8N做一个分频器,他能输入的最大频率是多少呢?
在线时间38 小时
TA的帖子TA的资源
一粒金砂(初级), 积分 2, 距离下一级还需 3 积分
一粒金砂(初级), 积分 2, 距离下一级还需 3 积分
请问用EP3C5E144C8N做一个分频器,他能输入的最大频率是多少呢?
在线时间369 小时
威望4229分
芯币8489枚
E金币280枚
TA的帖子TA的资源
,你好,你看下面的内容,是不是你要的。
(40.29 KB, 下载次数: 0)
19:10 上传
(61.91 KB, 下载次数: 0)
19:10 上传
MicroPython中文社区
http://www./forum.php
在线时间369 小时
威望4229分
芯币8489枚
E金币280枚
TA的帖子TA的资源
手头要是有quartus,片子选好,生成试试要能知道,
要是再仿真看看,就更好了
MicroPython中文社区
http://www./forum.php
在线时间38 小时
TA的帖子TA的资源
一粒金砂(初级), 积分 2, 距离下一级还需 3 积分
一粒金砂(初级), 积分 2, 距离下一级还需 3 积分
手头要是有quartus,片子选好,生成试试要能知道,
要是再仿真看看,就更好了
嗯,好的。谢谢
在线时间369 小时
威望4229分
芯币8489枚
E金币280枚
TA的帖子TA的资源
忘了问你,
1. 你输入时钟是多少啊?
2. 分频后又是要达到多少了?
MicroPython中文社区
http://www./forum.php
EEWORLD 官方微信
EE福利 唾手可得
Powered by一个数字系统中往往需要多种频率的时钟脉冲作为驱动源,这样就需要对FPGA的系统时钟(频率较高)进行分频。
比如在进行流水灯、数码管动态扫描设计时不能直接使用系统时钟(太快而肉眼无法识别),或者需要进行通信时,
由于通信速度不能太高(由不同的标准限定),这样就需要对系统时钟分频以得到较低频率的时钟。
分频器主要分为偶数分频、奇数分频、半整数分频和小数分频,如果在设计过程中采用参数化设计,就可以随时改变参量以得到不同的分频需要。
在对时钟要求不是很严格的FPGA系统中,分频通常都是通过计数器的循环计数来实现的。
& 偶数分频(2N)
偶数分频最为简单,很容易用模为N的计数器实现50%占空比的时钟信号,即每次计数满N(计到N-1)时输出时钟信号翻转。
奇数分频(2N+1)
使用模为2N+1的计数器,让输出时钟在X-1(X在0到2N-1之间)和2N时各翻转一次,则可得到奇数分频器,但是占空比并不是50%(应为 X/(2N+1))。
得到占空比为50%的奇数分频器的基本思想是:将得到的上升沿触发计数的奇数分频输出信号CLK1,和得到的下降沿触发计数的相同(时钟翻转值相同)奇数分频输出信号CLK2,
最后将CLK1和CLK2相或之后输出,就可以得到占空比为50%的奇数分频器。原理图如下:
用Quartus II 得到的占空比为50%的9分频时钟输出信号outclk如下:
& 半整数分频(N-0.5)
基本设计思想为:首先进行模N的计数,计数到N-1时输出时钟翻转;而且在计数返回到0时,输出时钟再次翻转。
所以,只要使计数值N-1保持半个时钟周期,即可实现N-0.5分频时钟。那么如何保持半个时钟周期呢?
因为计数器是上升沿触发计数,如果在计数值=N-1时把计数器的触发时钟翻转,则时钟的下降沿就变成了上升沿。即计数值=N-1时,时钟马上翻转,
则计数值保持半个时钟周期后,会遇到上升沿而使计数值归0. 然后计数器以翻转了的时钟继续计数,在产生N-0.5个分频周期后,时钟再次翻转。
& 2.5分频的时序示意图如下:
怎样才能够使计数器的触发时钟在N-1时翻转呢? 由半整数分频器的原理图可知,将输出时钟二分频后和输入时钟相异或就可使触发时钟翻转。
& 半整数分频器原理图如下:
用Quartus II 实现的2.5分频时序图如下:
由通用分频器电路组成图可以看到,半整数分频器是由整数分频器加上二分频和异或门而构成的。
那么,如果使用元件例化的思想就可以得到通用的分频(即可选择整数分频和半整数分频)。
如果想要得到任意分频,则可以参考CrazyBingo 的 利用DDS原理的 。
阅读(...) 评论()二分频,很全面的二分频文章 - 电子工程世界网
在电子工程世界为您找到如下关于“二分频”的新闻
二分频资料下载
巧用CD4013组成二分频电路在电子技术中,N/2(N为奇数)分频电路有着重要的应用.对一个特定输入频率,要经N/2分频后才能得到所需要的输出,这就要求电路具有N/2的非整数倍的分频功能。CD4013是双D触发器,在以CIM013为主组成的若干个二分频电路的基础上,加上异或门等反馈控{6I,即可很方便地组成N/2分频电路。...
。 &&& 十、电子二分频功放 &&&& 图10是电子二分频功率放大器。众所周知,高保真音箱是由低音和高音扬声器单元组成的(三分频音箱还有中音单元),必须使用分频器,使它们各放其声。传统的分频方法是在功放以后采用LC分频器,由于这种分频器处理的是功放输出的大电流信号,因此体积大、制作成本高、制作和调试困难;分频器插接在功放与扬声器...
清零端 MR1,MR2 为高电平时,不管时钟端(/CP0、/CP1)状态如
何,即可以完成清零功能。
当 MR1,MR2 中有一个为低电平时,在/CP0、/CP1 脉冲下降沿作用下进行
计数操作:
a) 将/CP1 与 Q0 连接,计数脉冲由/CP0 输入。在 Q0,Q1,Q2,Q3 得到二、
四、八、十六分频。
b) Q0 为二分频输出,Q1~Q3 为八分频输出。
引出端符号...
一所示 由本振环 偏置环 锁相环组成 其中锁相环由 取样器 13 26MHz 放大器 二分频器 鉴相器 环路滤波器 驱动器 YTO 和定向 耦合器构成 2.2 26.5GHz 输出环路 滤波器驱动器YTO定向 耦合器鉴相器二分频13-26MHz 放大器取样器300本振环360MHz6.513MHz锁相环  偏置环图一  226.5GHz 锁相源方框图 在 YTO 振荡器输出端口 由 2 26.5GHz...
二分频的实现 二分频的作用是将测相范围由0°~180°扩大到0°~360°。...
D触发器实现二分频器...
及电路故障分析  BTL功率放大器及电路故障分析 2213.6 扬声器电路及电路故障分析  二分频电路  变形的二分频电路  三分频电路 2283.7 RC电路、LC电路、RL电路及电路故障分析  RC电路  RC移相电路分析  积分电路和微分电路  LC谐振电路及电路...
双D触发器CD4013的扩展应用 在电子技术中,N/2(N为奇数)分频电路有着重要的应用.对一个特定的输入频率,要经N/2分频后才能得到所需要的输出,这就要求电路具有N/2的非整数倍的分频功能。CD4013是双D触发器,在以CIM013为主组成的若干个二分频电路的基础上,加上异或门等反馈控制,即可很方便地组成N/2分频电路。...
&&&&&&&&西门子S7-300二分频器例程。...
。当 CT/ LD 为低电平时,Q0~Q3 随 D0~D3而变化;当 CT/ LD 为高电平时,只要时钟不作用,Q0~Q3 将保持不变。54(74)177 与 54(74)197 的引出端排列和功能均相同,差别在速度-功耗值不同。引出端符号0 CP 二分频时钟输入端(下降沿有效)1 CP 八分频时钟输入端(下降沿有效)CR 异步清除端(低电平有效)CT/ LD 计数控制端/异步并行置入控制端(低电平...
二分频相关帖子
边沿触发器做二分频,得到的肯定是方波,然后将测到的频率乘以2. 比较器分频器计数器 频率计,不是方波测不准,是不是测周期方式?
将输入信号通过一个边沿触发器做2分频就可以得到方波了,只要测高电平时间就是输入信号的周期。 [quote][size=2][url=forum.php?mod=redirect&goto=findpost&pid=2254206&ptid=573780...
]gmchen 发表于
22:57[/color][/url][/size]
如果不需要改变输出频率,也可以用74HC4046加HCMOS分频电路例如74HC4020等直接构成。[/quote]
想问一下,我的参考信号不是50%占空比,我在前级要加一个二分频整形,这样鉴相器出来的脉冲应该是500HZ左右,我的滤波器截止频率大概多少比较合适呢 [quote][size...
分频单元& && &通过以上步骤,我们就完成了简易VGA控制器测试电路的主要电路设计。在前面我们曾经提到,VGA控制器的像素时钟为25MHz,而我们芯航线FPGA开发板设计的是50MHz的晶振,因此需要使用锁相环对时钟进行分频得到25MHz的时钟,以供VGA控制器使用。注意,虽然我们直接使用寄存器二分频也能从50M直接分频得到25M时钟,但是这样分频...
。这里需要注意的是,I2CSA中填入的是7位地址,即如果设备的写入地址为0xA0,需要令I2CSA = (0xA0 && 1),即0x50。SCL的频率则由I2CPSC、I2CSCLH、I2CSCLL共同决定。I2CPSC为预分频,I2CPSC=0时为一分频,I2CPSC=1时为二分频,最高只支持4分频。I2CSCLH和I2CSCLL分别表示SCL高电平和低电平的持续时间,实际时间TH...
=redirect&goto=findpost&pid=2056021&ptid=497668][color=#999999]zhangdaijinqf 发表于
16:12[/color][/url][/size]
555能搭上吗?[/quote]
实现首帖目的,另一个方法是使用二进制计数器,仅使用脉冲输入端和二分频输出端。
我记得你的这种,好像现在某宝上卖的,直接可以输出...
, period=0x3fffffff)复制代码
预订值设置为83,这将使得该定时器频率为 1MHz。这是由于 运行频率达到168MHz的CPU 时钟被二分频,再被预订值 +1分频,所以定时器2 的频率为:168 MHz/2/(83+1)=1MHz。周期值设置较大以便于定时器可以在计数值加到一定程度便回归到0 。上述例子里计数值大约在17 分钟后回归到 0 。
使用该定时器,首先最好重置...
如图所示,电路为异或门+4分频器,异或门输入信号有两个,一个为4MHz方波信号,另一个为高低电平。当高低电平不断变化(低变成高再变成低再变成高这样)时,输出信号怎么变?感觉很乱,求指点。。。
这个电路输出信号怎么变化?不知道该怎么去分析。。。 建议用proteus试试,,,
卡诺图也不好画 先分析异或门的输出在单独分析每个D触发器构成的二分频器。。。。 [quote][size...
如图为我的二分频和功放的原理图,因为手头电源有限,我并没有对NE5532使用双电源供电,音源就是手机,扬声器是同轴喇叭。NE5532使用的是独石电容,功放的周围件用的普通的贴片阻容件,电感是带屏蔽罩的那种。
现在听到的效果是播放交响乐的时候,低音效果感觉还可以,但是高音部分效果会有底噪,如果仅仅听高音部分,听到的效果就像是,以前的电视机开了很大声音但是却没有收到台一样,有那种丝丝的声音...
RCC_HCLKConfig(RCC_SYSCLK_Div1);
/* PCLK2 = HCLK 设置低速总线2时钟=高速总线时钟*/
RCC_PCLK2Config(RCC_HCLK_Div1);
/* PCLK1 = HCLK/2 设置低速总线1的时钟=高速时钟的二分频*/
RCC_PCLK1Config(RCC_HCLK_Div2...
; & //Suvervision_Updata();//监控参数返回
串口助手设置跟程序是一致的,晶振24M,经过二分频后供给SMCLK,串口助手显示与发送不一致,且发送几个数据后停止了显示,求解答。。
MSP430串口乱码问题...
二分频视频
你可能感兴趣的标签
热门资源推荐基于HMC835宽带低相噪低杂散跳频源制造技术
本实用新型专利技术公开了一种基于HMC835宽带低相噪低杂散跳频源,其输出信号频率范围较宽,达到100MHz~4.1GHz,采用Delta-sigma调制技术改善了分数杂散性能,使得输出信号的杂散较小;采用100MHz恒温晶振作为参考输入信号,系统有良好的相位噪声。整机的性能优良成本低,具有较高的性价比,满足常用频段测试要求,包括电子侦察与对抗、广播电视、半导体、无线通信系统,此外还可用于高校教学方面的使用。
微信扫一扫分享本专利技术到微信朋友圈,让更多的人了解这个技术。
技术实现步骤摘要
本技术涉及电子侦察与对抗、广播电视、半导体、无线通信、及高校教学等信号测试。技术介绍当前对频率源提出了越来越高的要求,主要表现在高频率、低相噪、低杂散、小步进、宽频带、小体积等方面。频率合成技术作为系统实现高性能指标的关键技术之一,包括四种合成方式:直接模拟式频率合成、锁相频率合成(PLL)、直接数字式频率合成(DDS)和混合式频率合成(DDS+PLL),由于使用锁相频率合成(PLL)技术杂散性能与相位噪声性能较好,可实现的工作频带宽,因此我们采用锁相频率合成技术。技术实现思路本技术目的是,其输出信号频率范围较宽,达到10MHz?4.1GHz,其中10MHz?2.05GHz射频信号由压控振荡器VCO分频输出产生,2.05GHz?4.1G的射频信号由压控振荡器VCO基频输出产生;采用Delta-sigma调制技术改善了分数杂散性能,使得输出信号的杂散较小;采用10MHz的恒温晶振作为参考输入信号,系统有良好的相位噪声。满足常用频段测试要求,包括电子侦察与对抗、广播电视、半导体、无线通信系统,此外还可用于高校教学方面的使用。本技术的采用的技术方案如下:一种,包含硬件系统和嵌入式软件系统;其特征在于:所述的硬件系统包括参考信号源、锁相频率合成器PLL、控制板、电源板,所述参考信号源采用恒温晶振,所述锁相频率合成器PLL采用锁相芯片HMC835,所述锁相芯片HMC835内部电路包括鉴相器、环路滤波器、压控振荡器VCO以及分频器,所述分频器包括输出分频器和N倍分频器;所述的嵌入...【详细说明在详细技术资料中】
技术保护点
一种基于HMC835宽带低相噪低杂散跳频源,包含硬件系统和嵌入式软件系统,其特征在于:所述的硬件系统包括参考信号源、锁相频率合成器PLL、控制板、电源板,所述参考信号源采用恒温晶振,所述锁相频率合成器PLL采用锁相芯片HMC835,所述锁相芯片HMC835内部电路包括鉴相器、环路滤波器、压控振荡器VCO以及分频器,所述分频器包括输出分频器和N倍分频器;所述的嵌入式软件系统包含CPU及外围接口;所述参考信号源的输出信号输入到锁相频率合成器PLL的鉴相器中,所述鉴相器的输出端和压控振荡器VCO的输入端之间设有环路滤波器,所述压控振荡器VCO的输出端设有输出分频器和N倍分频器,所述输出分频器分频压控振荡器VCO产生的射频信号,所述N倍分频器输出至鉴相器;所述控制板上的CPU通过SPI串口对HMC835芯片进行配置。
技术保护范围摘要
专利技术属性
发明(设计)人:,
申请(专利权)人:,
专利类型:新型
国别省市:安徽;34
专利技术项目评估
对专利感兴趣?请拨打022-(工作日)(24小时)咨询
相关技术资料
杂散噪声专利,hmc832专利,hmc832操作指南专利,hmc834专利,hmc835专利,hmc832源代码专利,杂散电流
上一篇:下一篇:

我要回帖

更多关于 音箱分频器 的文章

 

随机推荐