简述四位七段数码管原理的工作原理。

点击文档标签更多精品内容等伱发现~


VIP专享文档是百度文库认证用户/机构上传的专业性文档,文库VIP用户或购买VIP专享文档下载特权礼包的其他会员用户可用VIP专享文档下载特權免费下载VIP专享文档只要带有以下“VIP专享文档”标识的文档便是该类文档。

VIP免费文档是特定的一类共享文档会员用户可以免费随意获取,非会员用户需要消耗下载券/积分获取只要带有以下“VIP免费文档”标识的文档便是该类文档。

VIP专享8折文档是特定的一类付费文档会員用户可以通过设定价的8折获取,非会员用户需要原价获取只要带有以下“VIP专享8折优惠”标识的文档便是该类文档。

付费文档是百度文庫认证用户/机构上传的专业性文档需要文库用户支付人民币获取,具体价格由上传人自由设定只要带有以下“付费文档”标识的文档便是该类文档。

共享文档是百度文库用户免费上传的可与其他用户免费共享的文档具体共享方式由上传人自由设定。只要带有以下“共享文档”标识的文档便是该类文档

原标题:FPGA入门--七段数码管原理显礻

本教程将教给大家如何使用北邮版FPGA开发板上的2个七段数码管原理

七段数码管原理是电子设计中常用的一种LED显示器,可以显示数字0-9以忣一些其他符号,七段数码管原理根据内部发光二极管的连接方式可以分为共阴极和共阳极两种。

七段数码管原理中七个LED的编号顺序昰固定的,如下图所示点亮LED的位置不同,显示的符号也就不同

在实际应用中,从节约端口数量、降低成本等角度考虑经常将多个七段数码管原理并联,采用动态扫描的方式通过位选信号控制各个七段数码管原理轮流点亮,利用人眼视觉暂留现象实现多个七段数码管原理同时显示信息的效果。

七段数码管原理的动态扫描用单片通过C语言编程时比较复杂,但在FPGA中利用Verilog语言本身的并行机制,这件事倒变得简单了

下图为北邮版FPGA开发板中2个七段数码管原理的连接方式,这2个七段数码管原理是并联关系但位线相对独立,通过T2和T3控制2个七段数码管原理的阴极公共端当T2=1时,允许对应的七段数码管原理工作

使用Verilog操作2个七段数码管原理的例程如下,这段代码是通过拨码开關来控制七段数码管原理显示0-9和A-F的用拨码开关的低4位和高4位拨码分别控制2个七段数码管原理,读者可仿照FPGA入门--建立第一个工程自己来建立新的工程完成相关操作。

//计数分频通过读取32位计数器div_count不同位数的上升沿或下降沿来获得频率不同的时钟

//拨码开关控制数码管显示,烸4位拨码开关控制一个七段数码管原理

//通过读取32位计数器的第10位的上升沿得到分频时钟用于数码管的扫描

//7段数码管位选控制

// 相应位数码管段选信号控制

在这里,我们并没有给出管教分配表也希望读者能自己动脑来完成,北邮版FPGA开发板的管脚对照表如下表所示

迎接另一個晨曦,带来全新空气

气息改变情味不变茶香飘满情谊

我家大门常打开,开放怀抱等你

拥抱过就有了默契你会爱上这里

不管远近都是愙人请不用客气

相约好了在一起,我们欢迎你

我家大门常打开开怀容纳天地

岁月绽放青春笑容,迎接这个日期

天大地大都是朋友请不用愙气

画意诗情带笑意只为等待你


VIP专享文档是百度文库认证用户/机構上传的专业性文档文库VIP用户或购买VIP专享文档下载特权礼包的其他会员用户可用VIP专享文档下载特权免费下载VIP专享文档。只要带有以下“VIP專享文档”标识的文档便是该类文档

VIP免费文档是特定的一类共享文档,会员用户可以免费随意获取非会员用户需要消耗下载券/积分获取。只要带有以下“VIP免费文档”标识的文档便是该类文档

VIP专享8折文档是特定的一类付费文档,会员用户可以通过设定价的8折获取非会員用户需要原价获取。只要带有以下“VIP专享8折优惠”标识的文档便是该类文档

付费文档是百度文库认证用户/机构上传的专业性文档,需偠文库用户支付人民币获取具体价格由上传人自由设定。只要带有以下“付费文档”标识的文档便是该类文档

共享文档是百度文库用戶免费上传的可与其他用户免费共享的文档,具体共享方式由上传人自由设定只要带有以下“共享文档”标识的文档便是该类文档。

我要回帖

更多关于 七段数码管的工作原理 的文章

 

随机推荐