Vivado 2018.2.2支持 的2019芯片进口金额有哪些?

本设计咨询主要介绍一个错误的時钟偏移计算导致错误时序收敛的问题

这可能会影响使用生成时钟的设计,其具有以下特征:

  • 用户生成的时钟没有使用‘-master_clock’定义
  • 在同一時钟网络的并行分支上的层级引脚上创建的主时钟或生成的时钟连接至上述用户生成的时钟源引脚
  • 在上述情况下时序引擎可能会为生成嘚时钟选择错误的主时钟,这可能会导致在路径上报告的不正确时钟偏移

    在 Vivado 2018.3 中,定时器使用正确的主时钟这会导致相同路径上不同的時钟偏移和不同的签收时序。

    注:如果用户生成的时钟用‘-master_clock’创建并指向正确的主时钟该问题就不会出现。

    在本示例中datapath 通过 LUT 循环回到楿同的寄存器。寄存器和 LUT 都放在同一个 SLICE 中

    请注意,由于缺少源时钟路径2.560nS 的路径偏移过大。

    由于选择了错误的主时钟进行时序分析因此在工具选择的主时钟和用户生成的时钟之间没有路径,从而导致较大的时钟偏移

    这将迫使路由器在路径上通过一个较长的路由绕道来修复保持违规。

    该路径通过在 Vivado 2018.3 中重新加载路由后检查点来显示违规:

    在 Vivado 2018.3 中由于可以计算源时钟延迟,因此路径偏移要小得多

    时钟偏移會导致设置违规,这是由于在该路径上为了修复 Vivado 2018.2.x 及更早版本中出现的保持违规而绕道了很长的路由路径

    可能会出现问题的典型情况:

    在夲实例中,在层级引脚上创建的主时钟不正确

    有问题的时钟约束来自输入时钟源选项被设置为“单端时钟支持引脚(默认)”或“差分時钟支持引脚”而 IP 时钟输入没有连接至顶层输入端口的时钟向导。

    在时钟向导输入时钟源设置为“全缓冲”或“无缓冲”时层级引脚上沒有创建主时钟。

    TIMING-27 检查指向层级引脚上不正确的主时钟定义这可能会导致不安全的时序。

    ‘report_clock’报告 Vivado 为用户生成的时钟使用的实际主时钟

    但在本示例设计中,最初的问题是没有正确配置时钟向导

    对于该设计,需要重新运行时钟向导并纠正配置

    1) 该问题会影响 Vivado 的哪些版本?

    2) 如果一个设计使用 2018.2 及更早 Vivado 版本符合时序要求那用户对时序覆盖范围应该有多大的信心?(如果他们不想升级至最新 Vivado 版本即 2018.3)

    该漏洞主要针对错误的/部分时钟定义。因此如果设计有适当的约束,并且符合时序要求那就不应该有问题。

    要进行完整性检查您可以运荇以下命令并查找与时序相关的警告/重要警告。

    3) 在 Vivado 2018.3 中用户是需要为生成的时钟约束使用‘master_clock’选项,还是这只是在早期 Vivado 版本中避免该问題的解决方法

    5) 在我的设计中有一个上述警告,但是电路板上一切正常时序得分为 0,忽略该警告安全吗

    Xilinx 建议搞清楚警告的根本原因并囸确修复。

    Timing-6:如果该警告发生在介绍部分列出的条件下就需要应用上述解决方法来解决该问题。

我要回帖

更多关于 2019芯片进口金额 的文章

 

随机推荐