使用一个按键采用定时计数器控制LED流水灯流水灯,没按一次按键,LED由两边向中间亮灭,然后再由中间向两

  这个就是把 先奇数亮再偶数亮循环三次;一个灯上下循环三次;两个分别从两边往中间流动三次;再从中间往两边流动三次;不过这个程序实现的 应该是这样的  先奇数煷再偶数亮,循环三次;一个灯上下循环三次;两个分别从两边往中间流动;再从中间往两边流动;

单片机c语言源程序(51定时器 八个按键采用定时计数器控制LED流水灯八个LED 单按键采用定时计数器控制LED流水灯数码管加1 点亮P0口所有LED 流水灯暗点流动 流水灯亮点流动 闪烁P0口所有LED 数碼管动态扫描加1 数码管动态扫描显示 数组流水灯 双按键采用定时计数器控制LED流水灯数码管加减1)

会员到期时间: 剩余下载个数: 剩余C币: 剩余积分:0

为了良好体验不建议使用迅雷下载

以下程序为Verilog/CPLD学习过程中写的流水燈实验程序

实验板晶振:50MHz。

实验现象:8个LED循环点亮,间隔1s





我要回帖

更多关于 采用定时计数器控制LED流水灯 的文章

 

随机推荐