数字逻辑课程设计,课程设计,用纯硬件来实现,急求方案 喷泉电子阀门自动控制系统设计

1、喷泉电子阀门自动控制系统设計

喷泉由八喷头组成,喷头控制流程:

1)首先由一个喷头单独工作,依次使八个喷头轮流工作;

2)然后是两个相邻喷头工作,依次组合工作;

3)再是四个相邻噴头工作,依次组合工作;

4)最后是一至八逐个喷头加入工作,然后一至八逐个关闭之后进入下一轮循环。

设计一个8路彩灯控制器(彩灯用发光二極管L1~L8模拟)控制器,要求:

2)彩灯循环演示以下五种花型:

①L1~L8全点亮,然后全熄灭;

②将L1到L8依次点亮,然后从L1到L8依次熄灭;

④2个相邻的灯依次组合闪烁;

⑤4个相鄰的灯依次组合闪烁

3、简易声光控延时照明灯电路设计

设计一个简易声光控延时照明灯电路。功能及要求:

1)具有光控功能,白天光线较亮,即使有声音时路灯也不亮;

2)具有声控功能,晚上光线较暗,有声音时路灯点亮,声音消失后延时照明一段时间t后自动熄灭,时间t可以手动分档调节,调节范围为10~70s,以10s为一档;

3)如果在照明灯点亮期间又有新的声源出现,照明灯应重新计通电t时间;

4)以状态开关和单脉冲模拟光线和声音信号,以LED模拟照明光源

4、三相步进电机驱动电路

要求实现三相步进电机按输入脉冲数旋转一定的角度,运行方式为双三拍和单六拍,可正反转,并记录和显示脉冲數。用3个LED指示灯代表3个线圈

1)三相步进电机又3个线圈,一般称为A、B、C三相,通过改变3个线圈的通电情况来实现电机的驱动(转动)。

专业文档是百度文库认证用户/机構上传的专业性文档文库VIP用户或购买专业文档下载特权礼包的其他会员用户可用专业文档下载特权免费下载专业文档。只要带有以下“專业文档”标识的文档便是该类文档

VIP免费文档是特定的一类共享文档,会员用户可以免费随意获取非会员用户需要消耗下载券/积分获取。只要带有以下“VIP免费文档”标识的文档便是该类文档

VIP专享8折文档是特定的一类付费文档,会员用户可以通过设定价的8折获取非会員用户需要原价获取。只要带有以下“VIP专享8折优惠”标识的文档便是该类文档

付费文档是百度文库认证用户/机构上传的专业性文档,需偠文库用户支付人民币获取具体价格由上传人自由设定。只要带有以下“付费文档”标识的文档便是该类文档

共享文档是百度文库用戶免费上传的可与其他用户免费共享的文档,具体共享方式由上传人自由设定只要带有以下“共享文档”标识的文档便是该类文档。

专业文档是百度文库认证用户/机構上传的专业性文档文库VIP用户或购买专业文档下载特权礼包的其他会员用户可用专业文档下载特权免费下载专业文档。只要带有以下“專业文档”标识的文档便是该类文档

VIP免费文档是特定的一类共享文档,会员用户可以免费随意获取非会员用户需要消耗下载券/积分获取。只要带有以下“VIP免费文档”标识的文档便是该类文档

VIP专享8折文档是特定的一类付费文档,会员用户可以通过设定价的8折获取非会員用户需要原价获取。只要带有以下“VIP专享8折优惠”标识的文档便是该类文档

付费文档是百度文库认证用户/机构上传的专业性文档,需偠文库用户支付人民币获取具体价格由上传人自由设定。只要带有以下“付费文档”标识的文档便是该类文档

共享文档是百度文库用戶免费上传的可与其他用户免费共享的文档,具体共享方式由上传人自由设定只要带有以下“共享文档”标识的文档便是该类文档。

我要回帖

更多关于 数字逻辑课程设计 的文章

 

随机推荐