quartus ii时钟设计内部时钟频率是多少

   在对某个对象下时序约束的时候首先要能正确识别它,TimeQuest会对设计中各组成部分根据属性进行归类我们在下时序约束的时候,可以通过命令查找对应类别的某个对象

Quest嘚使用说明)。

       建立和预编译项目的部分相对简单涉及到的也只是QuartusII的一些基本操作,这里我们就不再做具体的叙述主要介绍如何向项目中添加时序约束和如何进行时序验证。首先建立一个名称与项目top层名字一致的sdc文件然后按照下面的步骤添加时序约束。

/current/)查找它的語法。在QuartusII的帮助里可以查找到:

    另外,帮助系统里有很详尽的关于该命令的描述并且给出了各种使用的范例。不仅仅是这一个命令所有的命令都可以在帮助系统里找到。如果看到一个陌生的命令或者不知道命令该如何使用,那么最好的办法就是在帮助系统里查找该命令

        从图上可以看到,当我们选定了基准时钟和PLL的参数以后PLL的输出c0和c1的参数就随之确定了。所以在QuartusII环境下可以通过一个简单的命令讓软件自动生成PLL输出的时钟的时序约束。

用derive_pll_clocks命令创建PLL相关的时钟很是方便但不好的地方就是,时钟的命名太过复杂我们在添加与此时鍾相关的时序约束时,就必须用这种名字很长的时钟容易出错,且可读性也差所以建议还是采用create_generated_clock命令来创建PLL的时钟。

    同样的可以按照上面的方法,在TimeQuest里查看创建时钟的结果如下图所示。

Summary就可以在主窗口看到所有时钟的情况。见下图很明显,软件辨识出DAC7512模块下的DA_SCLK為时钟信号但是我们并没有对该时钟添加约束,所以用红色将这个时钟显示了出来下一步我们就来创建这个时钟。

加载中请稍候......

我要回帖

更多关于 quartus ii时钟设计 的文章

 

随机推荐