这种verilog根据配置选取参数值值多少钱

我想用一个模块中的一个输出去妀变另一个模块中的参数值有实现的办法吗?... 我想用一个模块中的一个输出去改变另一个模块中的参数值有实现的办法吗?

parameter是静态的在模块instantiation的时候就确定了,之后是不能改变的

module的output是动态的不同的仿真时间,output的值是变化的

你对这个回答的评价是

我要回帖

更多关于 verilog根据配置选取参数值 的文章

 

随机推荐