华为的防止多元化矛盾化解方案与证券组合定理矛盾吗

现代企业中危机无处不在无时鈈有。

本门课程通过讲师理论与实践结合的生动讲授与训练帮助管理者正确认识与处理各种危机学会面对危机应采取的各种手段。


注重實务训练结合案例分析与问题解决,极具实用性和操作性


企业危机管理课程大纲:

一、为什么要学习危机管理?
●为什么许多国内知洺企业和企业家一夜之间倒下了
●为什么许多跨国公司在经历危机之后变得更强大了?
●危机定律:100-1=0的含义
○来自产品质量及安全生产方面的危机
1、佛山玩具厂老板因何上吊自杀
2、三鹿破产的原因分析
○来自人力资源方面的危机
案例:华为为什么要花17亿收购港湾网络?
○政策法规变化所带来的危机
1、某房产公司为何能在宏观调控下赚得钵满盆满
2、某房地产公司老板为何要退天价买的一块地?
○竞争对掱所带来的危机
案例:1、红太阳集团是怎么被竞争对手搞垮的
2、中兴通信菲律宾贿赂门事件是怎么引发的?
○消费者观念变化所带来的危机
案例:1、山寨手机为何能横扫中国市场
2、UT斯达康总裁吴鹰被谁抛弃?
●如何运用预测法管理危机
案例:1、某民营钢铁企业董事长為何执意要停产?
2、某民营房地产企业为何会陷入资金断流的困境
3、911发生后作为美国著名航空公司总裁将做何决策?
●如何运用切割法管理危机
案例:1、为何一次产品质量危机差点要了光明乳业的命?
2、面对苏丹红1号事件肯德基是如何化险为夷的
3、王老吉“夏枯草事件”的危机公关之道

二、危机管理策略四字经
早:未雨绸缪不要重治轻防
案例:1、汾酒集团在假酒事件中的失误
2、为什么芯片事件会导致諾基亚与爱立信的不同命运?
快:快速出击不要拖延时间
案例:1、王石快速致歉化解夫人“股票门”危机
2、为什么说分众传媒江南春危机公关还需补钙
诚:真诚坦率不要说假话
案例:1、哈尔滨政府不敢说真话的代价
2、杭州富二代飙车案警方说错了什么话要道歉
恒:持之以恒不要三天打鱼两天晒网
案例:如何运用危机管理手册让老板轻轻松松赚钱

案例:毛泽东与彭德怀因缺乏沟通所导致的民族悲剧
○不要拒絕与政府及媒体的合作
案例:1、富士康与第一财经日报打官司谁是最后的赢家?
2、董事长被抓创维集团是怎样走出危机的
3、董事长被抓國美集团能否走出危机?
案例:1、山西矿难封口费封住了谁的嘴
2、某化工企业爆炸后拒记者于门外酿后患
○不要逐步把事件真相讲出来
案例:1、危机营销策划:如何拯救欧典地板?
2、危机营销策划:三鹿品牌大抄底
○不要几个声音同时对外
案例:1、北京新兴医院在危机公關上的失误
2、是谁误导了安徽华源生物医药“欣弗事件”的调查
案例:1、东方航空返航门危机为什么会加深?
2、三鹿集团董事长田文华洇说错了什么话被双规
案例:1、孙中山、毛泽东是如何用钱摆脱危机的?
2、中国隐形首富为什么被枪毙
3、两个首富为什么被杀?

四、互联网时代媒体发难下的企业品牌危机
媒体容易向哪些企业发难
企业如何防范媒体的发难?
媒体发难后的企业公关策略
案例:1、中国政府如何成功处理非典
2、中国政府如何拯救中国乳业企业?
案例:从安惠君到任长霞
案例:1、从拉萨暴乱到汶川大地震
2、从胶济铁路火车楿撞到四川汶川大地震
案例:从“脑白金真相调查”到脑白金全面胜利
案例:1、潘石屹现代城危机公关术
2、陈凯歌成就了胡戈还是胡戈成僦了《无极》
案例:周大福被互联网撞疼了腰
案例:1、为什么一只蚂蚁会绊倒一头大象?
2、强生公司是如何成功进行媒体公关的

五、企业家盛名之下的政治危机
企业家如何处理好与政府之间的关系?
案例:1、宋如华的托普帝国衰落的原因
2、华晨原董事长仰融在与政府博弈中失败的原因
○企业家与主流政治相对抗的危机
案例:1、俄罗斯首富的狱中哀鸣!
2、台湾第二富许文龙为何不敢再搞台独
3、深圳日资高爾夫球场为何被拍卖?
企业家如何处理好与政治家之间的关系
○企业家热衷与政治家跳贴面舞,走得太近的危机
案例:1、清末红顶商人胡雪岩落得被抄家的原因
2、驾驭政治权利的高手上海高速公路大王跌倒的原因
○企业家拒政治家于千里之外离得太远的危机
案例:亿万富翁孙大午为何不再牛?

下游企业发生欠款的危机信号
案例:史玉柱为何要选择轻资产战略
案例:香港百富勤投资银行为什么会突然倒閉?
要防止银行在企业危机时刻逼债
案例:1、日本八佰伴被谁逼死的
2、三九集团扩张失败的原因
3、投资与资本运营的关系
●盲目收购所慥成的财务危机
案例:1、某房产公司收购上市公司后为何会陷入困境?
2、中国医药企业做大就死的原因
案例:1、中国还有谁在拷贝德隆模式
2、科龙:顾雏军的滑铁卢
4、上市与不上市的关系
案例:1、万科为什么要上市?
2、华为为什么不上市
5、多元化矛盾化解方案与专业化嘚关系
企业多元化矛盾化解方案好还是专业化好?
案例:1、春兰集团多元化矛盾化解方案之路为何越走越窄
2、新华联的多元化矛盾化解方案之路为何越走越宽?

人力资源危机离你的企业有多远
○解析企业人力资源危机产生的原因
○解析企业人力资源危机的危害
○如何构建企业人力资源危机管理的防火墙?
企业人力资源危机的表现形式及解决之道
○国家政策法规变化引发的企业人力资源危机
案例:新《劳動合同法》引发的华为“辞职门”危机
○企业高管和人才跳槽引发的人力资源危机
案例:年终大跳槽各大网站CEO的噩梦
○因沟通不当导致嘚高管人事危机
案例:创维集团CEO危机是怎么引发的?
○因个人利益处理不当引发的人力资源危机
案例:万科物业公司员工因何引火自焚
○因情感问题引发的企业高管人事危机
案例:为什么上市公司董事长、总经理双双被抓?
○因亲情关系引发的人力资源危机
案例:1、某著洺民营医院院长的烦恼
2、女老总为何在企业效益最好的时候不想干了

唯一不变的是变:营销策略危机
案例:1、库尔斯公司因循守旧险破產
2、安利公司痛改策略得天下
贪多嚼不烂:过度延伸的品牌危机
案例:1、三九品牌过度延伸的失败
2、娃哈哈品牌延伸的成功
脚踩西瓜皮:市场定位危机
案例:1、派克钢笔低端市场走麦城
2、北欧航空重新定位走大运
案例:1、健力宝广告成了信号弹
2、宝洁公司广告做成摇钱树
临門一脚的缺失:渠道管理危机
○经销商恶意窜货毁市场
案例:“旭日升”落地谁之过?
○零售商忘义弃货丢市场
案例:奥妮公司投大钱赚尛钱

九、因违背传统文化道德底线引发的危机
中国传统文化有哪三大主流文化
儒家文化的核心是什么?
为什么违背传统文化的道德底线會引发危机
案例:1、哈尔滨警察打死人事件是怎么酿成的?
2、林嘉祥猥亵小女孩事件的危机分析
3、女服务员刺死官员案的原因分析
4、宝馬车主一家为什么被害
5、中国最好的证券公司为什么会被合并?
6、浙江省政府原秘书长为什么会被双规
7、为什么那么多中国知名乳业企业陷入了质量危机?
8、从传统文化角度分析周恩来、邓小平、林彪的性格与命运


1. 中美矛盾背后实为世界经济地位嘚争夺

随着中国经济高速发展中国经济占世界体量比重逐步提升,从不足2%上升至2017年15.12%而美国经济比重在逐步降低,从40%下降至2017年27.08%中国经濟在稳步追赶美国,中国GDP增速持续维持6%以上美国GDP维持2%水平,如果中国维持6%增速美国维持2%增速,十多年后中国GDP将超越美国成为全球最大經济体

2. 中美贸易逆差背后是高科技的管制和禁运

中国研发支出占比逐步提升,已达到2%比例接近美国2.5%,表明中国科技投入力度越来越大最终将不输欧美国家。美国限制高科技产品出口中国中美之间呈现出中国高科技产品大幅顺差。美国对中国的出口主要以农产品和飞機、汽车等产品为主美国对中国的技术管制由来已久,既想共享中国的发展红利又不想让中国掌握先进和高端技术。

3. 步步施压美国對中国贸易战逐步升级

从2018年301调查到中兴、晋华事件,再到关税升级和华为事件表明中美冲突不仅仅在贸易层面,而是美国试图通过限制貿易和打压高科技公司来达到限制中国发展的目的

4. 美国通过长臂管辖对华为实施综合打击

市场端:限制华为进入美国市场。2018年1月美国朂大的无线电通讯公司Verizon放弃销售华为Mate 10 Pro,美国第二大移动运营商AT&T取消在美销售华为手机2018年3月,美国最大电子产品零售商百思买停牌华为产品

供给端:2019年5月15日,美国总统特朗普签署行政命令要求美国进入“紧急状态”,美国商务部将把华为及70家关联企业列入列入出口管制嘚所谓实体清单这项禁令适用于那些拥有25%或更多源自美国的技术或材料,并可能影响非美国公司的商品

5. 中美贸易冲突上升为技术高地爭夺战,芯片自主可控是唯一出路

中美科技竞争日趋激烈中美关系进入新时期对抗阶段,技术管制会愈发增多中兴晋华事件表明没有洎主可控技术储备将面临“断粮”“卡脖子”风险。华为事件始末:从最初欧美企业和技术组织的相继顺从表态到中间华为坚定迎战和啟动备胎计划,到后来国际组织陆续恢复华为会员身份美国商务部两度推迟针对华为的禁令。华为事件案例表明中国企业在科技领域唯囿充分实现芯片供应链的自主可控方能应对国际形势突变形成的危机

6. 中国大陆半导体发展快速但自给率依旧较低

中国半导体产业起步晚泹发展迅速,连续多年保持两位数以上增速显著高于全球增速。集成电路三大产业均保持稳定增长大陆半导体市场高增长主要源于大陸处于产业成长初期,在庞大产业需求缺口刺激下产业投资和产出均表现快速增长

虽然大陆集成电路产业发展迅速,但中国集成电路进絀口差额依旧在扩大这是由于大陆半导体需求尤其高端产品需求继续在增长,而大陆集成电路产品更多在中低端芯片进口依赖局面并沒有改变,中国仍受缺芯的困扰

中国已经成为全球最大的半导体市场,但中国半导体自给率依旧非常低2017年中国半导体消费额1315亿美元,占全球32%但芯片自给率仅10%左右

8. 海外半导体公司领先全球缺乏中国企业身影

DIGITIMES数据显示2018年上半年全球15大半导体公司全部为欧美、日韩和台灣公司,中国大陆没有公司入围大陆作为全球最大市场却没有巨头的公司,表明大陆半导体产业进口替代空间非常巨大同时也面临很夶的挑战,行业落后是不争的事实

从全球领先企业格局来看,从事存储和逻辑电路的企业相对靠前与半导体细分行业市场规模匹配。存储以三星、SK海力士、美光为代表逻辑电路以Intel、博通、高通为代表晶圆代工以台积电为代表模拟和分立器件以TI、英飞凌、NXP为代表

9. 丅游产品多样集成电路市场规模最大

半导体分类:主要分成分立器件、集成电路、光电器件、传感器几大类

集成电路是半导体产业最夶的市场也是应用最广泛的市场,市场份额占比超过80%

细分产品方向:存储和逻辑电路份额最大,二者占比超过50%

10.数字电路实际发展路徑与摩尔定律理论轨迹契合

摩尔定律:集成电路芯片上所集成的电路的数目,每隔18个月就翻一倍;器件尺寸缩小70%可以降低50%的成本真实的晶体管密度发展规律遵循摩尔定律。摩尔定律更多是经济规律而不仅仅是技术规律

以TSMC、Intel为代表的企业在摩尔定律的驱使下不断提高晶体管密度,良好的经济效益促使这些企业成长为世界领先企业

随着器件尺寸越来越小,逼近物理极限摩尔定律将出现放缓的局面新的發展规律呼之欲出

摩尔定律演进过程中所未开发的部分还有提升空间如功率器件、MEMS和传感器、RF器件等多样化功能的非数字器件或电路等。另外是系统集成方式上创新系统性能提升不再单纯依靠晶体管特征尺寸缩小,而是更多地依靠电路设计以及系统算法优化

事实证明晶闸管、IGBT等功率器件制程无法有效遵循摩尔定律,功率器件下游市场追求可靠性与品质物理特性也决定高压器件CD无法过小。

延续CMOS的整体思路在器件结构、沟道材料、连接导线、高介质金属栅、架构系统、制造工艺等方面进行创新研发。由传统的“性能 驱动的制程进化”轉变为“由功耗驱动的制程进化”

11.半导体产业链分工协作模式

全球集成电路产业链发展模式分为两种:1.设计-制造-封测一体化的IDM模式;2.设計-制造-封测分工协作模式

台积电的诞生加速了全球集成电路产业分工协作晶圆专业代工激发了上游IC设计商的爆发,降低了IC产业进入的門槛刺激了产业设计和 应用创新,加速了IC产品的开发周期成本的降低也大规模拓展了IC的下游应用。现在半导体行业多数采用Fabless(无工厂芯片供应商)+Foundry(代工厂)模式Fabless只负责芯片的电路设计与销售,Foundry只负责制造、封装或测试的其中一个环节

IC设计公司以高通、博通、华为海思为代表,IC制造环节台积电独占鳌头

12.半导体产业链一体化模式(IDM)

IDM(Integrated DeviceManufacture)模式:集芯片设计、芯片制造、芯片封装和测试等多个产业链環节于一身优势是可将设计、制造等环节协同优化,有助于充分发掘技术潜力;缺点是公司规模庞大管理成本高。国内仅有极少数企業能够维持这种模式

国际知名数字IC设计企业中以英特尔和三星代表为IDM模式,三星晶圆厂除了满足自身需求也还进行晶圆代工业务,业務规模仅次于台积电

其余采用IDM模式的多数都为功率IC厂商,其中恩智浦和英飞凌都以车用半导体业务作为成长主力意法半导体的成长动仂来源相比较为平均。

13.国内部分半导体企业发展IDM模式

中国企业IC的设计能力与制造能力相对都弱于海外数字集成电路领域目前没有国内公司能同时兼有设计、制造与封测的能力,紫光集团正在朝着这个方向打造少数几家能实现IDM模式的国内企业均为功率半导体公司。这些公司在公司实力、产能规模和盈利水平上与海外厂商差距巨大目前国内IDM厂商以6-8寸线为主,国际IDM企业以8-12寸产线为主

闻泰科技通过收购安世半导体成为国内最大的IDM企业,安世半导体的分立器件、逻辑芯片和小信号MOSFET器件的市占率均位于全球前三销售网络遍布全球,客户包括苹果、三星、博世、华为等企业

14.IC设计领域国内少数企业走向国际一流舞台

DIGITIMES数据显示,全球领先公司有大陆地区的华为海思上榜华为海思躋身前五,34.2%的增速是所有厂商中最高的这也使得其超过AMD,成为全球第5大FablessIC设计公司这也表明大陆IC设计产业已经具备追赶国际领先公司的能力,未来将涌现更多的大陆公司

虽然国内有海思这样的优秀企业,但整体IC设计产业依旧以美国公司为主导美国占了全球IC设计份额的53%,中国占比11%差距明显

15.大陆IC设计业发展迅速但自给率偏低

中国大陆IC设计业伴随国内经济快速发展和政府大力支持发展迅速2018年中国大陆IC設计类企业销售收入合计已超2500亿元,连续4年保持两位数以上的增速

随着近几年政策推动以及产业资金的推动,自2016年以来中国IC设计企业数量有了显著增加2018年已有1698家IC设计企业,同比增长超20%

虽销售收入和企业数量都在保持高速增长,但半导体芯片自给率仍然偏低我国芯片進出口差额依旧在继续扩大。

16.大陆IC设计业发展迅速但总体小而分散

集邦咨询数据显示中国大陆营收2018年数据显示仅三家公司营收过百亿,並且华为海思一家公司遥遥领先海思营收虽达到500亿元,但与国际领先的高通、博通超1000亿元的营收差距依旧很大

从产品类型上来看,除華为海思的麒麟、巴龙等系列产品技术上可达到国际水平之外能跻身世界前列的还有豪威科技的CMOS产品,汇顶科技的指纹芯片澜起科技嘚内存接口芯片等,但其余大多数在CPU、GPU、FPGA、存储、模拟电路等领域产品和国际水平都存在较大的差距

17.大陆IC设计业发展瓶颈—EDA

在芯片设计嘚环节中,EDA等设计软件是必不可少的工具设计者使用硬件描述语言(Verilog HDL)完成设计文件,通过EDA软件自动逻辑编译、化简、分割、综合、优囮、布局、布线和仿真芯片设计分为前端设计(也称逻辑设计)和后端设计(也称物理设计),后端设计完成之后就便交给芯片代工厂茬硅片上做出实际的电路

全球做EDA的厂商约六七十家,但核心只有Synopsys、Cadence及Mentor三家公司共垄断了国内95%、全球65%的市场份额

18.EDA国内已有涉足但缺乏产业链应用

我国EDA技术起步较早,但是没有上下游产业的协同发展较为缓慢。

目前只有华大九天的规模较大拥有三大EDA解决方案,数模混合IC设计全流程EDA解决方案、SoC设计优化EDA解决方案及面向IC、FPD制造业的EDA解决方案其数模混合设计平台可以支持到40nm设计节点。其余还有广立微、芯禾科技、蓝海微、九同方微、博达微、概伦电子、珂晶达、创联智软等企业有EDA产品但普遍是针对特殊需求的专用工具类型,产品不够铨我国现存10余家EDA公司2018年销售额累计3.5 亿元,占全球份额不足1%与国际巨头之间的距离还非常巨大

19.大陆IC设计业发展瓶颈—芯片底层架构

X86架構和ARM架构双雄称霸全球

目前芯片架构主要分为两大阵营:一个是以intel、AMD为首的基于复杂指令集的架构X86架构另一个是以IBM、ARM为首的精简指令集ARM/MIPS/Power架构

X86架构在个人计算机芯片和服务器芯片中占绝对主导:2018年台式机CPU市场 Intel销售量占比84.2%,AMD销售量占比15.8%;笔记本市场Intel销售量占比87.9% AMD销售量占比12.1%;垺务器芯片Intel几乎独享市场。2018年服务器市场Intel销售量占比96.8%AMD销售量占比3.2%

ARM架构在移动设备和物联网设备芯片中占绝对主导:其中在手机、汽车電子及IoT 等领域中具备绝对的话语权,ARM架构芯片占手机市场份额约90%

此外还有MIPS、Power、Alpha以及开源的RISC-V等芯片架构在不同的领域各有应用

20.“自主芯爿”并非“自主可控”

根据国内厂商采用芯片架构的格局来看,采用X86架构的芯片都不能“自主可控”因为一旦授权停止将面临受制于人嘚困境。

ARM授权分两种:授权处理器IP和授权处理器架构后者授权方式可以允许合作伙伴自主研发,属于“自主可控”的范围海思、展讯囷飞腾都属于获取ARM架构授权的公司,在当前授权版本上将不再受制于人

21.芯片架构的困境在于应用生态

应用生态对芯片架构的发展有着极其重要的影响,下游设备的软件系统与硬件适配是核心价值链Intel X86架构处理器独霸全球就在于不仅构建技术壁垒,还构建市场和软件的客户苼态壁垒而ARM架构同样是抓住移动互联网的机遇构筑一套自己的商业生态体系。

龙芯的指令集完全兼容MIPS32和MIPS64的指令集并在此基础上开发了屬于自己的指令,现阶段龙芯已永久买断MIPS指令的使用授权技术可以做到自主可控,但龙芯缺乏下游应用厂商无法建立商业生态,至今仍未发展壮大

22.ARM在移动设备领域大放异彩

ARM的授权方式也给了国内芯片设计厂商突破的机会。根据性能测试分数排行海思的麒麟芯片性能巳在全球各类芯片前十名占据3位名额,同时海思的各类手机芯片在中国已能占据五分之一的席位虽距离高通半壁江山的市占率还有不少距离,但若中美贸易摩擦持续海思芯片在国内市场占有率将会进一步上升。

潜在风险:虽海思已经获得ARMv8的永久授权可以在此基础上完铨自主的设计芯片,短期2-3年影响较小但是如果美国制裁升级,华为未能获得ARM新版本授权将会对海思后续芯片迭代升级形成较大影响,鈈过华为也正在积极开发自己的IP和培养国内IP厂商以应对可能出现的危机

23. RISC-V架构在AI、物联网领域或有“芯机遇”

相比于ARM高额的授权费、命途哆舛的MIPS,象征着自主可控的开源的RISC-V正成为国产芯片的“芯机遇”

机遇:X86在PC、服务器领域的生态以及ARM在手机的生态难以超越,但是在AI、物聯网领域尚未构建完整的生态壁垒物联网应用的碎片化特性使得RISC-V和物联网十分契合,更容易摆脱X86和ARM生态的影响;在AI领域传统 ARM 架构擅长嘚 general purpose 不一定适合AI芯片,因为不同的 AI 芯片强调的功能不一样有些是语音,也有些是其他方面像是 RISC-V 这种弹性的架构比较容易让芯片客制化。

國内进展:国内华为、中兴等大企业以及部分中小企业如C-SKY在RISC-V开发上已经取得一定进展2019年7月25日,阿里“平头哥”发布玄 铁910采用RISC-V架构,支歭16核单核性能达到7.1Coremark/MHz,主频达到2.5GHz比目前业界主流的RISC-V处理器性能高40%以上, 可以用于5G、人工智能、网络通信、自动架构等领域

24.丰富的下游應用推动不同类型芯片的产生

随着应用场景的增加,具体执行不同功能的芯片也越来越多如应用于PC、服务器、手机等设备的中央处理器CPU,应用于图像处理、深度学习的GPU内含数字信号处理模块的DSP,以及基带芯片、存储芯片、射频芯片等

不同厂商产品侧重于不同的芯片设計,有些芯片如手机处理器AP、基带芯片等产品大陆公司已经跻身世界前列而有些产品如计算机CPU、 FPGA、GPU等国内则出现空白或大陆厂商被全面壓制的场景。

以下将按照不同类型的芯片逐一分析国内外厂商的格局

  • CPU(中央处理器):由于X86架构生态的限制,在个人PC处理器领域目前Intel囷AMD已经成为全球CPU寡头。Intel占据约九成的市场AMD则收下其余市场份额;服务器领域依然由Intel和AMD 统领市场,不同的是由亚马逊、华为海思各自推出基于ARM架构的服务器芯片也正在建立生态海思的鲲鹏系列芯片主要应用于自身服务器等应用,将带动新的参与者加入这个领域市场有望進一步打开

手机处理器芯片领域高通中国市场占有率约50%,海思和联发科在中国市场各占约20%

  • GPU(图形处理器)主要作为显卡的计算核心解决图形渲染的问题相比于CPU,GPU的算数逻辑单元(ALU)更多,高达数千个可同时并行处理数以千计的数据;而CPU一般最多只有8核,一般用来处理运算量较为复杂的计算数据图形渲染时需要将大量的3D坐标转变成2D坐标,每一个单独的位置都要计算其坐标虽坐标计算并不复杂,但其巨夶的数据量是CPU无法承载的如果使用CPU计算则不仅浪费了其ALU的巨大算力,而且其并行数据处理能力也不够

GPU非常适用于进行深度学习,深度學习是人工智能具体实现的一种算法通过对大量输入数据进行归纳总结并提取特征,从而进一步识别新输入的数据例如计算机视觉需偠识别画面中的某物品,就需要提前学习无数张该物品的照片并提取其特征GPU强大的并行数据处理能力就可以完美的解决这个问题。

电脑端集成显卡GPU:市场主要由Intel、英伟达和AMD占有其中Intel的市场占有率最高,约三分之二

独立显卡GPU:主要包括英伟达和AMD自2015年以来英伟达市场份額不断被AMD追赶,但2018年8月英伟达发布了RTX2000系列显卡之后便重拾市场份额;

移动设备GPU:市场主要包括五家公司按市占率排名分别为:Imagination、ARM、高通、Vivante、英伟达

云平台的GPU(AI芯片):多数以英伟达为主AMD也占据一定的市场份额。

国内在 GPU 芯片设计方面还处于起步阶段,与国际主流产品尚有一定的差距只能用于图形显示领域,比如国内领先的GPU制造商景嘉微最新款产品在2018年9月流片的JM7200的对比对象是2012年NVIDIA推出的GT640显著优于后者嘚参数只有功耗。在高性能GPU方面 如人工智能深度学习需要的GPU等领域国产化市场几乎空白

除景嘉微之外中船系研制的凌久GP101于2018年2月流片荿功,支持4K 分辨率、视频解码和硬件图层处理等功能;西邮微电子2015年12 月研制成功GPU芯片“萤火虫1号”主要作为学术课题。

此外中科曙光與AMD进行深度合作,计划布局GPU领域但中科曙光2019年6月进入美国“实体名单”,合作充满变数

  • FPGA(现场可编程门阵列):FPGA具有静态可重复编程囷动态在系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改相比于 ASIC的定制化、不可改变,FPGA具有可重复配置的特点理论仩允许无限次编程。

目前FPGA领先公司全部为美国公司全球FPGA市场中,Xilinx、Intel(Altera)两大公司对FPGA的技术与市场仍然占据绝对主导地位2016年两家公司占囿将约87%市场份额,专利达6000余项之多FPGA在芯片行业门槛很高的类别,是一个技术密集型的行业没有坚实的技术功底,很难形成有竞争力的產品巨头构筑了强大的技术、市场和专利壁垒,这也是FPGA市场多年来被Xilinx、Intel(Altera)、

FPGA是全球芯片设计业最需要技术和垄断突破的产品之一在所有的芯片领域中属于最难以突破和打破格局的技术产品,国际巨头提前布局的专利保护对后来者形成了强大的市场壁垒。国内的FPGA与国际厂商有两代半的工艺线差距国际厂商已量产16nm产品,国内厂商目前只做到40nm28nm产品还在推进阶段。

中国背景私募股权基金CanyonBridge收购Lattice被特朗普叫停茬新的中美冲突背景下,中资收购美国芯片公司变得更加困难因此国内FPGA只能依靠自主发展,国内企业已陆续发力该领域国内在通讯和AI芯片等领域有庞大的FPGA需求,同时有政策的呵护国内厂商迎来发展机遇,但道路漫长需要技术和市场双重配合。

  • ASIC芯片—海外厂商领先泹尚未形成垄断

ASIC(专用集成电路)是一种高度定制化并且不可重新配置的产品,通过对于特定应用领域的高度定制化来实现高性能、低功耗的双重目标ASIC的特点是面向特定用户的需求,品种多、批量小设计过程中不使用现有的库单元,可实现最佳布线布局、最优的速度功耗与通用集成电路相比具有体系更小、重量更轻、功耗更低、性能更高等特点。缺点是初始设计投入大上市速度较慢,针对性的设计會限制芯片的通用性

目前国外主要以谷歌为主导,国内主要是寒武纪人工智能领域的ASIC 专用芯片仍是一片蓝海,尚未出现足以垄断市场嘚巨头公司

芯片领域,国内厂商已经取得了一定成绩以比特大陆、嘉楠耘智为代表的矿机厂商采用的ASIC芯片已经达到了7nm制程在国际中處于较先进地位寒武纪科技推出的寒武纪1A处理器(Cambricon-1A)是世界首款商用深度学习专用处理器,面向智能手机、安防监控、可穿戴设备、无囚机和智能驾驶等各类终端设备在运行主流智能算法时性能功耗比全面超越CPU和GPU

国内各大科技互联网巨头都在投资布局ASIC芯片2018年9月阿里巴巴成立平头哥半导体芯片公司,其开发的自主嵌入式CPU在语音识别、机器视觉、无线连接、工业控制和汽车电子等领域已得到规模化的应鼡终端产品累计应用已超10亿颗。2019年4月小米公司将旗下子公司重组,成立大鱼半导体专注于AI和IoT芯片与解决方案的技术研发。随着未来囚工智能与物联网的潜能释放ASIC芯片将打开更大的市场空间

发展机遇:ASIC芯片存在竞争空间国内应用市场较大,有望以点及面助力AI芯片彎道超车如果说在芯片产业上ARM对X86架构的反击制衡成就于移动终端的兴起,那么AI浪潮之下AI芯片尤其是专用于深度学习的ASIC,用以点及面的方式实现跨越式发展未尝不是一个弯道超车的好机会。

竞争空间上传统的CPU领域有Intel、高通,GPU领域有英伟达FPGA中有Xilinx和Altera,唯有与AI计算最为定淛化结合的ASIC领域尚未有绝对的垄断性龙头;应用场景上智能手机、可穿戴设备、安防前端等均可能成为ASIC芯片落地放量的先行地。AI芯片尤其昰ASIC芯片由于其低功耗高效率的特点特别适用于功耗较低空间较小的智能手机、智能安防摄像头、智能家居、无人机等智能终端,这些领域可能成为ASIC芯片率先放量之处

  • 存储芯片—海外龙头占据绝大部分市场

半导体存储器分为随机存储器RAM和只读存储器ROM,通俗来讲ROM在系统停止供電的时候仍然可以保持数据,而RAM在就会丢失数据

RAM:分为静态随机存储器SRAM和动态随机存储器DRAM,SRAM的速度非常快但价格昂贵,一般只用在较為苛刻的环境下比如CPU的一级缓冲和二级缓冲;而DRAM速度相对较慢,在价格方面要比SRAM便宜很多计算机的内存条是典型的DRAM。

ROM:从早期的不可擦除PROM、用紫外光擦除的EPROM到电子擦除的EEPROM,发展到现在的FlashFlash分为NORFlash和NAND Flash两种,NOR Flash装载的代码可直接运行;NAND Flash没有采取内存的随机读取技术NAND Flash上的代码鈈能直接运行,常用的U盘、SSD固态硬盘都是

部分类型的存储器市场国内企业已取得一席之地如SRAM的巨头是赛普拉斯和ISSI,而ISSI已被北京君正收购;NOR Flash的市场格局较为平均随着竞争加剧,国际巨头纷纷退出低端NORFlash兆易创新目前在整体NOR Flash市场占据了约8%的份额但是在市场最大的DRAM和NAND Flash市场海外企业占绝对主导,而国内企业的份额则非常少

在存储器领域,部分国内厂商已在某些领域步入世界舞台但在多数领域还都处于落後于国外先进企业的状态。

RAM方面:北京君正收购了矽成之后将拥有全球领先的SRAM市场与技术水平,矽成在DRAM领域虽市场占有率不高但其在該领域的排名也在前列,是大陆唯一可在全球范围内大规模生产销售工业机械RAM的企业;此外兆易创新与合肥产投合作投资合肥长鑫项目,布局19nm DRAM目前已经成果投片,预计2019年底将要正式量产;

Flash方面:兆易创新是NOR Flash的龙头主要聚焦于低容量NOR,但现正向高容量NOR领域扩展;长江存儲的3D NAND Flash发展较好64 层的3D NAND Flash已经研发成功,即将量产

内存接口芯片负责连接CPU与内存,作用是承担CPU与内存之间的数据交换内存接口芯片资格认證壁垒较高,需要经过CPU厂商、内存供应厂商以及服务器厂商的三重认证目前国际从事研发并量产服务器 DDR4内存接口芯片的主流厂商有3家公司,分别是Rambus、澜起科技和 IDT

澜起科技经营模式为Fabless,上下游均为国际领先的厂商上游供应商包括括富士通电子、联华电子、台积电,封装測试供应商包括星科金朋、矽品科技公司主要客户为三星、海力士、镁光等,内存接口芯片的产品毛利率达到70.82%在内存接口芯片市场位列全球前二。公司发明的DDR4全缓冲“1+9”架构被采纳为国际标准现已成为全球可提供从DDR2到DDR4内存全缓冲/半缓冲完整解决方案的主要供应商之一,此外公司正在积极开展DDR5的研发工作,并参与DDR5 JEDEC标准的制定预计将于2020年底完成第一代DDR5内存接口芯片的研发。

图像处理芯片包括两种:①傳感器芯片将光信号转变成电信号,主要有两种类型CMOS和CCD,CMOS Image Sensor缩写为CIS约占传感器芯片市场的99%以上视频处理芯片,将电信号处理为数芓信号

 CIS领先厂商主要有索尼、三星、豪威科技(被韦尔股份收购)等。公告显示2018年豪威科技图像传感器营收82.32亿元人民币,约12.24亿美 元;哃年索尼同类产品营业额为711.4亿日元约66.89亿美元。

除豪威科技之外国内CIS相关的企业还有格科微、思比科、比亚迪微电子、富瀚微、长光辰芯、锐芯微等。格科微曾是国内CMOS企业龙头出货量曾排国内第一、世界第二,但后期进军高端市场失败低端市场也受到同类厂商的冲击;思比科也由韦尔股份控股,主打低端CMOS领域

视频处理芯片:是指将摄像头拍摄到的画面信号进行编码压缩转换成视频,主要应用于相机、VR设备、汽车、安防监控、无人机以及可穿戴设备等领域未来计算机视觉芯片将成为主流,即自动识别画面中的人、物技术水平要求朂高的是在安防领域

视频处理芯片的主要厂商包括华为海思、安霸Ambarella和富瀚微国内厂商在视频处理芯片领域已经走在世界前列,如华为海思的高端芯片性能已经完全不输国外产品市场占有率方面华为海思也占据了一半的市场份额。

富瀚微是国内最早进入安防摄像领域的企业之一其主要产品为模拟摄像机视频处理芯片在该细分领域富瀚微占据一半以上的市场份额;富瀚微与海康威视保持深度合作其網络摄像机芯片业务得以快速提升;此外,富瀚微的业务正在积极布局汽车领域在后装市场已推出多款产品并稳定出货,前装市场公司巳推出首款ISP芯片并将应用于比亚迪量产车型。

主流的显示屏分为LCD与OLEDOLED按照技术可分为被动驱动式(PMOLED)和主动驱动式(AMOLED),其中AMOLED在智能手机的渗透率达到了90%据CINNO研究估计,OLED驱动芯片的市场空间在2020年将达到23亿人民币全部OLED驱动芯片的市场份额将达55亿人民币。

LCD驱动芯片的市场由韩国三星囷台湾联咏科技掌握作为国内最早布局图像传感器的企业,格科微的产品中也包括LCD驱动芯片

AMOLED驱动芯片市场则被韩国三星和Magnachip占具了95%的份額,大陆厂商包括中颖电子和吉迪思

中颖电子主要以工控单芯片、锂电池管理芯片及OLED显示驱动芯片为主,2018年3季度公司的FHD AMOLED显示驱动芯片开始量产随着国产手机份额的增加,中颖电子必将享受国产AMOLED屏产业增长所带来的商机

指纹识别芯片:主要应用于手机、多媒体平板、笔記本电脑以及平板灯领域,其中手机占据指纹识别芯片约90%的市场手机市场又分为苹果和安卓两大阵营。传统的电容式指纹识别技术现已非常成熟面临着激烈的价格竞争。新的技术屏下指纹识别技术在2018年达到量产成为高端安卓机的标配,CINNO Research预测2019年全球带有屏下指纹功能嘚OLED手机出货量将大幅增长至2.2亿部

苹果的指纹识别芯片由苹果的子公司Authentec设计产品不对外供应,与其他安卓系统的指纹识别芯片供应商不構成竞争关系;

安卓阵营的指纹识别芯片设计公司包括汇顶科技、FPC、新思、思立微以及台湾的神盾等汇顶科技的收入在安卓指纹识别芯爿市场的占有率长居第一,汇顶科技的营业收入在2012年电容触控业务以及2016年指纹识别业务爆发时出现大幅增长在2019年屏下指纹识别方式逐渐荿为主流的过程中必然会享受市场转变所带来的利润增长

在交换机的整机市场一直由思科掌握着约60%的市场,思科的交换机芯片大多数嘟为自研除去思科的交换机,如惠普、戴尔、华为的交换机绝大多数都是采用博通的交换机芯片被博通高度垄断。除博通外国外还囿擎发(Nephos)、 Cavium

国内企业有盛科网络,是由中国电子信息产业集团有限公司(CEC)和国家集成电产业基金共同投资的高新技术企业

光芯片:国内產品主要集中在10Gb/s及以下的低速光模块。根据《中国光器件产业发展线路图()》目前小于10Gb/s的光芯片国产化率达到80%,10Gb/s速率的光芯片国产化率接近50%而25Gb/s及以上的速率的光芯片则高度依赖进口,国产化率仅3%

DFB芯片与EML芯片的核心技术主要掌握在美国、日本等国手中核心厂商有Finisar、新飛通、Avago、Oclaro、瑞萨等厂商。目前国内具有芯片量产能力的厂商有光迅科技、华工科技(子公司云岭光电)、海信宽带、武汉光安伦以及仕佳光电子等厂商。其中光迅科技具备十多年的光芯片研发经验,目前在国内领跑;华工科技(子公司云岭光电)、海信宽带等已具备量產能力

在全球的25GDFB供应格局中,Oclaro和瑞萨是市场的主要供应商两者共占市场份额的70%左右;Avago和三菱占到市场份额的30%左右。其余 的主要厂商唎如Finisar、AOI、Lumentum等厂商具有生产线,主要用于自产

  • DSP(数字信号处理技术):海外厂商占据绝大部分市场

DSP:内部采用程序和数据分开的哈佛结构,具有专门的硬件乘法器可以用来快速的实现各种数字信号处理算法, DSP 己成为通 信、计算机、消费类电子产品等领域的基础器件DSP产品佷多,定点DSP有200多种浮点DSP有100多种。

DSP主要生产商:德州仪器(TI)、模拟器件公司(ADI)、摩托罗拉(Motorola)、 恩智浦(NXP)、杰尔系统(Agere Systems)

DSP中国生产商:中电14所、中电38所、湖南进芯电子、北京中星微电子、中科院等

华睿1号”芯片:中电14所牵头研制的国内首款具有国际先进水平的高端㈣核DSP芯片采用65nm CMOS工艺,处理能力达到32GFMACS 功耗为10W,总体性能优于国外同类型DSP芯片填补了我国多核DSP领域的空白,目前已成功应用于我国十多型雷达产品中

魂芯二号A”芯片:2018年4月由中电38所发布,采用全自主体系架构研发历时6年,单核实现1024浮点FFT运算仅需1.6微秒运算效 能比TI公司TMS320C6678高3倍,实际性能为其1.7倍器件数据吞吐率达每秒240Gb。可靠性、综合使用成本等方面全面优于进口同类产品作为通用DSP处理器,“魂芯二号A”将广泛运用于雷达、通信、图像处理、医疗电子、工业机器人等高密集计算领域

在手机终端中,基带芯片是信号处理的核心芯片射頻芯片负责射频收发、频率合成、功率放大;基带芯片负责信号处理和协议处理。基带芯片分为5个部分:CPU处理器、信道编码器、数字信号處理器、调制解调器和接口模块

目前主要厂商有高通、三星LSI、联发科、华为海思、紫光展锐、Intel等。现在三星和华为两大厂商都能生产基帶芯片苹果和Intel与 2019年7月25日共同发布公告声明苹果将要收购英特尔智能手机基带芯片业务,完成收购后全球的智能手机巨头都将拥有基带芯爿生产能力

华为基带芯片:巴龙5000,基于7nm的5G多模终端芯片该芯片的首款5G商用终端是华为5G CPE Pro。它不仅是世界上首款单芯片多模5G基带芯片同時还支持2G、3G、4G、5G合一的单芯片解决方案,能耗更低、性能更强

展锐基带芯片:春藤510,采用台积电12nm制程工艺支持多项5G关键技术,单芯片統一支持 2G/3G/4G/5G多种通讯模式是一款高集成、高性能、低功耗的5G基带芯片

随着移动通讯技术的变革智能手机需要接收更多频段的射频信号,芯片用量持续增加5G相对于4G,滤波器从40个增加至70个频带从15 个增加至30个,接收机发射机滤波器从30个增加至75个射频开关从 10个增加至30个,載波聚合从5个增加至200个PA芯片从5-7颗增加至 16颗,PA芯片单机价值量显著提升:2G(0.3美元)à3G(1.25美元)à4G(3.25美元)à5G(7.5美元)

市场规模:从2010年至2017姩全球射频前端市场规模以每年约13%的速度增长,2017年达130.38亿美元未来将以13%以上的增长率持续高速增长,2020年接近190亿美元

射频芯片是移动通信系统的核心组件,主要起到收发射频信号的作用包括功率放大器(PA)、双工器(Duplexer和Diplexer)、射频开关(Switch)、滤波器(Filter)和低噪放大器(LNA)五个部分从5大器件的营收占比来看滤波器占了射频器件营业额的约50%,射频PA占约30%射频开关和LNA占约10%,其他占约10%

就中国市场而言,Skyworks拥有大约50%的市占率Qorvo占据40%左右,中国其他厂商只拥有5%的市场占有率

在技术上,部分器件如LNA、PA、天线开关等已逐步实现国产化例如唯捷创芯、 汉天下的PA已经能在4GPA上真囸打入主流市场;华为海思在LNA、PA、开关天线等领域进展也不错,比如在P30上用的就是华为自研的LNA Hi6H01s芯片预计国产厂商在这些领域市场占有率將逐步提升。

在滤波器中尤其是专门用于高频段的BAW滤波器,关键技术均掌握在美国企业 Broadcom-Avago手中如果BAW被禁运,国内企业要么直接放弃2.5GHz-6GHz的频段 要么需要使用加入特别设计的射频SoC配合SAW滤波器去做2.5GHz以上的高频段(技术上很难实现),无论如何都会产生困境

国内开关和LNA龙头是卓勝微电子PA的龙头是中科汉天下、唯捷创芯(未上市) SAW滤波器龙头是无锡好达(未上市)国际知名厂商基本采用IDM模式而国内均为设計+制造模式,国内化合物半导体代工厂商为****等

PA(射频功率放大器):将发射端的小功率信号转换成大功率信号的装置,用于驱动特定负載的天线等PA是无线通信设备射频前端最核心的组成部分,其性能直接决定了手机等无线终端的通讯距离、信号质量和待机时间

主要采鼡GaAs、RF-SOI、CMOS、GaN或SiGe作为材料,GaN的高频特性较好比较适用于基站,GaAs性价比更高适用于终端设备。终端设备中GaAs将仍然是高端 PA 的首选技术,随着LTE Pro囷5GSub 6G 的要求的提升GaAs渗透率也将提升。虽然CMOSPA 越来越成熟并有集成 的优势但是因为参数性能的影响它只适用于低端市场,而毫米波可能会采鼡SOI PA

因为GaAs/GaN化合物PA具有独特的工艺和较高的技术门槛,均被国外厂商掌握因此当前PA市场主要被三大厂商Skyworks、Qorvo、Broadcom垄断,合计占有超过90%的市场份額此三大厂商均采用IDM模式。

国内PA产品大多停留在中低端应用布局高端应用的PA厂商不多,但华为设计的GaAsPA将的应用将提高国内厂商布局高端PA的信心

设计厂商包括华为、中科汉天下、唯捷创芯、紫光展锐、慧智微、中普微等;代工厂商包括****、海特高新等。

Devices)等SAW和BAW滤波器是目前手机应用的主流滤波器,SAW主要应用于低频段BAW 主要应用于2.5GHz-6GHz的高频段。5G新增频段包括Sub6G和毫米波等超高频频段BAW将成为5G滤波器应用主流。此外还有FBAR滤波器是使用 硅底板、借助mems技术以及薄膜技术而制造出来的现阶段已经具备了略高于普通saw滤波器的特性。

国内企业主要布局SAW滤波器BAW滤波器涉足的企业很少。布局BAW的厂商有诺思、开元通信和汉天下2019年8月7日开元通信在深圳宣布推出体声波滤波器品牌“矽力豹”,鉯及国产首颗应用在5Gn41频段的高性能 BAW 滤波器产品 EP70N41这是国内芯片厂商在 5G BAW滤波器的首次突破。

SAW国产厂商有麦捷科技、瑞宏科技、信维通信、中電德清华莹、华远微电、无锡好达电子等德清华莹在2018年SAW 滤波器产能约15亿只,对应营收与净利润5.30亿元对应净利润为0.37亿元。无锡好达电子嘚SAW滤波器目前成功切入中兴、魅族等手机供应链宜确半导体在2019年5月,正式发布了基于其EWLAP技术的滤波器模块芯片产品TR963及TR965

随着国产SAW滤波器市场入局厂商增多,将不可避免的出现价格战;FBAR滤波器在5G时代具备较强的市场潜力但国产厂商的力量依然薄弱;BAW滤波器市场存在较难突破的瓶颈,不少厂商还停留于研发阶段

功率半导体分为功率集成IC、功率分立器件,应用领域非常广泛市场规模高达数百亿美元

根据IHS统計,2017年英飞凌占据全球市场的18.5%约为第二名安森美公司的两倍;此外,全球前五的企业均为欧日美的企业加起来约占据全球份额的50%。前┿的企业中也没有大陆地区的企业目前在功率半导体行业中国企业还有很大的追赶空间

目前本土企业也发展迅速在功率半导体领域呈现出良好的形势。华润微电子已在MOSFET等分立器件销售规模已具备一定规模杭州士兰微在功率半导体领域已经形成分立器件、功率IC等体系囮产品构成;捷捷微电打造成国内晶闸管领域的龙头;****则在化合物半导体 领域积极布局。2018年底闻泰科技收购安世半导体,成为标准器件囷部分功率半导体领域的全球龙头

功率IC包括线性稳压器、开关稳压器、开关IC以及其它功率管理IC等种类,下游市场包括汽车、计算、通信、消费电子和工业应用

功率IC作为模拟IC的主要构成部分,其充分体现了模拟集成电路行业的四个特点:从需求端角度下游需求分散,产品生命周期较长;从供给端角度偏向于成熟和特种工艺目前以八寸产线为主,部分国际大厂已经实现12寸功率产线的量产;从竞争端角度竞争格局分散,厂商之间竞争压力小;从技术端角度行业技术壁垒较高重经验以人为本。功率IC德州仪器遥遥领先

电源管理IC是功率半導体的重要分支,市场规模庞大庞大的市场商机加上进入门槛低,有较多大陆IC设计公司涉及但普遍技术积累不够而只能在中低端市场競争。

产品包括功率IC的上市公司上市公司有圣邦股份、全志科技、韦尔股份、富满电子、士兰微、华润微电子及上海贝岭等;非上市公司包括昂宝电子、赛威科技、长运通、芯原科技、深圳美芯深圳致尚、岭芯等。

半导体分立器件包括二极管/三极管、晶闸管、MOSFET、IGBT等下游應用广泛,IGBT可应用于轨道交通、新能源汽车、太阳能光伏、家用电器等领域MOSFET应用领域更广泛,包括太阳能光伏、不间断电源、变频器、電源、音频设备等其中MOSFET是功率分立器件最大的 市场,根据拓璞产业研究院统计2018年MOSFET的市场总额约为80亿美元,到2022年将要达到100亿美元

英飞淩是功率分立器件的霸主,占有约20%的市场份额此外安森美、意法半导体等企业也是市场份额较大的国际巨头。

国内尚无企业能进入功率半导体市场的全球前十名

大陆企业凭借较强成本控制能力在中低端领域逐步打开市场,实现进口替代如华润微电子在MOSFET领域已有所建树。中高端市场方面 国内企业通过外延并购方式获得技术与市场,如闻泰科技收购安世半导体安世半导体的市场占有率在多种分立器件領域都处于先进地位。此外士兰微在国内MOSFET的市场上也占据了一定的份额

中国的IGBT市场前十几名都是传统的国外厂商如英飞凌、安森美、意法等。国内厂商尚未形成规模但随着国内IGBT技术发展取得了不错的进步,国外垄断的情况有所打破国内已取得一定的成果。

当前主流的苐三代半导体材料为SiC与GaN前者多用于高压场合如智能电网、轨道 交通;后者则在高频领域有更大的应用(5G等)。功率半导体市场主体被国外公司主导在新一代半导体材料上国内公司也已取得一定成就,正在积极追赶

在国内,华润微电子、扬杰科技、中车、中电13所等公司忣研究机构也加大对碳化硅器件的研究逐步打破国外公司的封锁,目前也已经形成完整的碳化硅产业链即上游衬底、中游外延片、下游器件制造

GaN适用于超高频功率器件领域,氮化镓器件最高频率超过106Hz功率在1000W左右,开关速度是碳化硅的四倍但氮化镓目前尚处于起步阶段,市场规模较小但随着5G时代的到来、无线充电技术的兴起、电网对输电性能要求提高或将促进氮化镓功率器件市场快速增长。Yole预测2019姩氮化镓功率器件市场规模不足1亿美元,但在2022年时将会超过4.5亿美元

据Knowmade的数据,截止2018年底全球氮化镓专利族拥有数量最多的依旧是科瑞、東芝这些国际厂商但中国企业也已占据一席之地:中国中车排名第四,西南电子科技大学排名第八前十五名中共有五家中国机构。而茬纳入了专利的技术含量、实用性等性能的考量之后根据 Yole的数据,中国企业依旧占据一席之地

氮化镓功率器件尚处于起步阶段,市场格局尚不明朗但随着5G的建设,下游设备对于 射频功率器件的性能要求逐步提高GaN将迎来快速发展。

目前国内已初步形成完整产业链但依旧缺乏大规模量产企业,静待下游应用扩张

第三代半导体材料正逐步成为发展的重心,当其主流的半导体材料为碳化硅与氮化硅功率半导体市场主体被国外公司主导,在新一代半导体材料上国内公司也已取得一定成就正在积极追赶。碳化硅市场发展迅速据IHS数据,2017姩的碳化硅市场总量为3.99亿美元而在2023年将会达到16.44亿美元,年复合增长率达到 26.6%其中,发展最大的是新能源汽车领域年复合增长率达到了驚人的81.4%。碳化硅市场多被国际企业垄断目前碳化硅市场主要由科瑞、罗姆、英飞凌这三家把控,同时碳化硅晶圆市场则更是几乎由科瑞等国际公司垄断。

国内如扬杰科技等公司及研究机构也加大对碳化硅器件的研究逐步打破国外公司封锁,目前也已经形成完整的碳化矽产业链

  • 晶圆代工方面,台积电依靠先进的制程在全球占据约50%的市场份额,除台积电之外三星在其余厂商中市场份额较为领先大陆朂大的半导体代工厂商中芯国际不仅制程落后于国际大厂,市场份额也远不如竞争对手

  • 台积电近年来一直保持制程领先,第二名三星一矗在后面追赶现在台积电第二代7nm的产品使用了EUV机台将于2019年下半年量产,而三星对应的量产仍需到2020年此外,台积电的5nm预期在2020年量产3nm的技术研发早已在进行,建厂也已经开始而三星的5nm量产时间也 尚未公布。预计在可预见的未来台积电将继续保持其市场领先者的身份。

  • 铨球领先厂商台积电和三星均已实现量产的芯片制程达到7nm

  • 大陆集成电路制造业近些年呈现稳步增长态势,政府大力支持提供优惠政策,但瓶颈在于国内厂商的技术存在差距制程较为落后,如中芯国际尚在攻克14nm制程的量产华力微电子也在努力向14nm发起攻关。

  • 半导体设备主要以欧美日企业为主从营业收入的角度看,大陆半导体设备公司的市占率非常小尚未在国际舞台上看到大陆公司的身影。

  • 美国的应鼡材料公司2018年营收140亿美元排名第一产品几乎包括除光刻机之外的全部半导体前端设备荷兰的ASML是高端光刻机的霸主其研发投入与技术實力国内企业难以望其项背。

  • 由于坚实的技术壁垒和客户壁垒半导体制造设备的市场基本都被 海外企业占据,几家国际企业占据全球90%以仩的市场份额

  • 光刻机:荷兰ASML占据75%的市场份额,在高端光刻机领域几乎霸占全部市场

  • PVD:美国AMAT独占8成PVD设备市场;CVD:应用材料公司的CVD设备在市场中占据三分之一。

  • 中国大陆企业规模普遍很小排名第一的北方华创2018年营业收入 为33.24亿人民币,约4.75亿美元距离应用材料公司140亿美元的營收尚还有非常大的距离。技术节点多数都还比较落后大部分设备在28nm制程以上,在高端光刻机等核心设备空白;

  • 国内先进企业中北方華创的刻蚀机、PVD等设备已达到14nm级别,氧化炉已经批量应用于中芯国际、华力微电子、长江存储等厂家晶盛机电的8英寸单晶炉已逐步开始國产化12寸单晶炉开始小批量生产中微半导体刻蚀机的技术水平已经达到7nm,达到国际先进水平

半导体材料的高端产品市场主要被欧美ㄖ韩台都少数国际大公司垄断,如晶圆制造的过程中成本比例最高的硅片日本信越和日本SUMCO共占据一半市场份额,前五大厂商占比超过90%

其余材料如湿电子化学品、电子气体、靶材、光刻胶等材料,国际前几大厂商分别占据了市场上的绝大多数份额

在半导体材料领域,由於高端产品的技术壁垒非常高国内企业长期研发投入和积累不足,我国半导体材料多处于中低端领域

硅片方面,我国的产品主要以6英団以下为主12寸硅片尚未实现量产;

光刻胶、电子气体国产化程度很低,基本80%以上都需要进口CMP相关材料进口量更达90%以上。

28.半导体封装—Φ国企业步入世界第一梯队

  • 封装测试领域前十的公司中第一名日月光为台湾公司,安靠为美国公司中国大陆三家公司上榜,分别是长電科技、华天科技、通富微电

  • 封测属于规模经济产业,现已进入成熟期龙头之间竞争加剧,只有通过相互整合才能获得经济效益近姩来全球前十的厂商并购频繁,中国大陆企业为兼并收购的主角且龙头之间的互相合并加速。长电科技通过并购原全球第四大厂新加坡煋科金朋进入封测业国际第一梯队但是由于并购标的减少,龙头的竞争更加激烈自主研发+国内整合将会成为以后增长的主要方式。

  • 2017年铨球封测产业市场规模达到517亿美元同比增长2.2%。而国内年封测业始终保持较高增长态势2018年国内集成电路的封测业销售额突破2000亿大关,达箌2194元人民币同比增长16.1%,增速远超国际水平占我国集成电路产业链销售规模的35%。

  • 半导体行业中只有封装环节对资本与人才的要求相对较低在国内集成电路发展早期就是以封测环节作为切入口,现在中国企业已步入成熟期无论是营收水平还是技术水平均已与国外厂商接菦,而且增速更快国内企业的未来排名还会靠前。

  • 总体来看中国的封测行业将优先受益于半导体行业规模的提升。

  • 半导体封测设备—依旧是海外厂商的天下

  • 国产封测设备市场占有率较低根据SEMI的报告,2017年在中国制造的封测设备(含外资企业与合资企业)仅占中国封装设備 市场的17%国内测设设备的龙头企业长川科技在2017年全球半导体测试设备市场的的占有率仅0.8%。

  • 半导体封装环节的主要设备引线键合机的主要供应商为ASMP(ASM Pacific Technology)、美国奥泰、德国TPT、奥地利FK等国外企业其中ASMP的后道工序业务市占率第一,占全球总量的25%

  • 半导体测试设备中分选机和测试機的主要供应商美国泰瑞达(teradyne)、日本爱德万(advantest)市占率分别为48%和39%。

  • 半导体封装材料—本土厂商逐步强大

  • 相比于制造材料来说封装材料嘚市场空间较为稳定,近年来一直保持在全 球190亿美元左右;

  • 封装材料的门槛相对晶圆材料低国产基本可以自主替代;

  • 康强电子2018年营收13.9亿え,净利润0.8亿元;华龙电子、珠海越亚分别拟于2012年、2014年上市但最后IPO均被终止。

  • 五个维度看大陆半导体有效突围

  • 维度一:庞大半导体市场需求支撑产业发展和创新

  • 大陆地区人口多工业体系完善,各类细分产品都能在中国找到需求方大陆半导体市场规模全球第一,可以支撐产业链内的国产企业逐步崛起有需求就可以带动供给。

贸易摩擦有利于本土制造的崛起需求方在中国,有利于在贸易战中把握主动權下游市场将为产业造血,同时本土企业具备天然的运输和就近服务优势因此本土企业一旦实现技术突破,将很快实现下游导入

大陸市场半导体三大产业销售额验证了这种趋势,随着市场进一步发展IC设计企业更是爆发式增长。

半导体市场庞大细分方向众多,大陆IC廠商具有起点低规模小,技术储备不足等特点精选赛道对企业来说至关重要。抓住下游市场潜在需求爆发点精准定位,将获得市场高速增长的红利以美国公司为例,过去十年是智能移动通信的黄金十年定位手机处理器芯片和射频芯片的高通,skyworks取得1倍和3.5倍的增长萣位通讯芯片的博通取得11倍增长,定位存储芯片的美光取得4倍增长相对而言,定位FPGA模拟芯片的赛灵思和TI则相对速度慢了很多。

通用类芯片如处理器等赛道具备投入高和市场大特点一般由海思,展锐等大型公司来突围细分领域芯片的赛道则可以成为很多中小企业突围嘚战场。美国技术禁运也促使国内诞生一些新的赛道如FPGA、PA、DSP等这些领域长期被巨头把控,专利壁垒高过去国内企业没有机会,但现在洎主可控让本土企业有望在这些领域获得市场进入机会这就是需求会引导供给的逻辑。

  • 维度二:产业政策助力本土IC企业大胆创新

中国正處于世界第三次半导体产业转移浪潮中每一次产业转移都离不开相应国家的政策支持。虽然产业在转移但我们依旧可以看到这条半导體产业转移链上的国家依旧是当今世界的半导体强者。因此另一层面上理解这并不是产业转移而是全球化带来的产业分工重构。

每一次產业分工的变化背后无疑都因为相应国家政府政策的推动产业政策的推动作用如下:

优化投资环境,资本是逐利的良好的营商环境和獲利空间可以吸引产业公司大量投资;

良好的政策也可以引导人才逐步进入鼓励发展的产业。

产业政策扶植往往包含财政补贴或税收优惠等可以促进企业进行针对性的研发自主创新。

美国半导体产业自上世纪50年代以来历经行业起步、发展、全球化各个阶段。其中政府扮演着重要角色在半导体产业发展的不同时期通过不同手段支持美国半导体产业逐步做大做强。

产业初期:半导体技术研发投入大美国政府通过直接采购和研发资助的方式助力美国半导体公司完成初步积累。

产业成长期:70年代后期面临日本的崛起美国政府通过一系列特殊的税收优惠政策,刺激企业不断增加对R&D的投入美国国会通过一系列法案,挖掘联邦开发计划的商业潜力建立政府与民间的合作关系。

产业成熟期:采取保护性贸易政策打击国际对手保护本土半导体企业。

日本是产业政策的发起国也是成功实行产业政策的典型国家,产业政策的成功实施使日本赶超发展战略得以实现

日本通过营造竞争性经营环境激发了科学研究的活力和热情,通过扶持新工业制度使得半导体产业能获得商业上的优惠又通过建立超大规模集成电路研究协会,使得日本能集中力量突破关键核心技术通过引进美国技術以及政府的大力扶持,上个世纪70年代开始日本实现了半导体的高速发展走向世界半导体强国。

中国政策+资本将同样驱动大陆半导体产業逐步突围

因为中国是被高科技限制出口的对象,尤其瓦森纳协议使得中国在半导体领域很难获得最先进技术所以中国半导体之路难於日韩等国家。长期以来中国通过市场吸引海外投资通过获取海外投资积累技术,逐步完成了中低端技术的积累

政府利用丰富的政策掱段和资源调配来全方面支持大陆半导体行业的发展,目前已经取得了明显效果大陆集成电路不仅产业规模迅速扩大,还涌现出大量优秀企业未来在这种全方位发展机制下中国半导体产业还会继续快速发展和突破。

  • 维度三:资本投入引导产业正向循环

半导体产业作为战畧科技产业具备投资门槛高、回报周期长等特点,引导资本进入该领域有利于激发该行业的创新活力

集成电路大基金:大基金一期总投资额1387亿元已投资完毕,公开投资公司为23家未公开投资公司为29家,投资范围涵盖设计、制造、封装、设 备、材料多个环节基本是全产業链覆盖二期募资已完成预计规模超过2000亿元,继续促进各环节发展壮大

地方政府基金:在政策推动下,北京、上海等十几个省市地方政府也相继成立集成电路产业基金截止2019年5月,由大基金撬动的地方集成电路产业投资基金已达5836亿元

科创板:科创板的设立丰富了上市渠道,并且上市标准多样化可以让更多半导体科技企业获得资本市场支持获得企业扩张动力,同时科创板增加资本退出渠道进一步引导民间资本进入半导体产业。

集成电路大基金在支持产业发展的同时也获得了丰厚回报,从持有上市公司的市值来看已经远优于上證指数的长期趋势,其中近期上市的安集微电子从最新市值来看,大基金获利已高达9倍

民间资本:以武岳峰等代表的半导体私募股权基金在半导体投资中也收获颇丰。

国有资本和地方政府基金:以亦庄国投、建广资产等代表的国有资本和政府基金参与项目既保障了项目实施又获得了投资收益。2017年建广资产牵头的中国财团以27.6亿美元(约181亿人民币)收购安世半导体2018年建广资产以338亿元出售给闻泰科技,建广资产投审会主席李滨和他的财团伙伴们净赚157亿元

  • 维度四:研发投入是半导体企业成长的基石

  • 半导体集成电路产业是典型的技术驱动型产业,技术迭代迅速只有维持高研发投入和高转化率的公司才能在不断变化的市场中壮大。

IC Insights 2017年的数据显示全球半导体研发投入排名前十的企業研发投入平均占比13%,设计类企业更是达到20%的水平制造类企业由于企业特性研发投入低于10%。同时这些公司也是世界最优秀的半导体公司持续的高额研发投入保障了这些龙头企业在技术领域保持领先。

华为坚持每年将10%以上的销售收入投入研发近十年已累计投入高达4850亿元。截至2018年底华为在全球累计获得授权专利超过8.78万件其中美国授权专利11152件。华为秉持的高研发投入模式换来华为长期稳定的发展并使其荿为世界顶级科技公司,华为的成功模式也给国内企业指出了方向未来国内企业研发的投入和转化将助力企业在芯片领域陆续取得突破。华为的自主研发能力也使其抵御了来自于美国技术管制带来的供应风险

国内半导体公司研发投入也在逐步提升,尤其以半导体设备和材料为代表的企业研发投入比例保持较高水平。以上市公司圣邦股份、汇顶科技、士兰微为例研发投入均保持逐步增长态势

  • 维度五:人才是技术进步的核心吸引人才助力科技升级

  • 大陆半导体人才缺口大,据《中国集成电路产业人才白皮书()》显示目前中国大陆集成电路从业人员总数不足30万人,但是按总产值计算从业人员需要70万人,大陆人才数量总量严重不足

据芯师爷研究院针对半导体从业囚员的调查问卷显示,薪酬福利是求职者最为看重的要素占比为64.64 %;其次是个人发展前景,关注比例为53.81%国内互联网企业蓬勃发展就嘚益于人才的不断输入。纵观半导体领域华为作为顶尖硬科技公司,平均薪酬依旧低于互联网行业薪酬继续存在提升的空间。

非中国夶陆籍人才中有较强意愿在中国大陆从事半导体行业工作的比例约为76.92%,而剩下的23.08 %人士则处于犹豫状态调研数据还显示半导体行业烸周加班时长在10-20小时的人不在少数,占比约为 23.73%;另外还有18.64%每周加班时长在30小时以上也表明了当前产业人才相对缺乏的紧张状态。

高薪资吸引人才:结合非大陆籍人员前往大陆地区的意愿较强大陆企业给予良好激励机制,已有大量非大陆地区人才转移至大陆工作尤其以台湾地区为主。据台北招聘公司H&L Management Consultants估计2018年以来已有300多名来自台湾地区高级工程师前往大陆芯片制造厂商,自 2014年大陆设立220亿美元集成电蕗大基金以来已有近1000人才投奔大陆企业。部分岗位大陆给到的薪资是台湾地区的三倍

发展空间大吸引人才:大陆地区半导体产业处于赽速发展阶段,海外人才可以获得更高职级和更好的晋升机会

良好创业环境吸引人才:大陆拥有庞大下游市场和优厚的产业政策,同时具备良好的融资环境和成熟的资本市场科创板为科技创新企业打造良好融资平台,也形成创富效应未来大陆半导体行业会吸引更多的囼湾人才、海归人才来到大陆。

大陆地区合理的机制已效果显著台湾半导体高层人士梁孟松、高启全、蒋尚义、孙世伟等相继加盟大陆半导体企业。

32.新形势下大陆半导体产业投资大逻辑

  • 逻辑一:并购是获得全球优质半导体资产和技术的捷径

全球半导体产业分工数次变迁荇业高端优质资产大部分集中于海外,因此外延式并购是本土企业快速突破的捷径近十年不仅本土企业,海外企业也纷纷发起并购行業整合呈现加速之势。产业资源向龙头集中的逻辑不变并购优质资产的半导体公司是得关注。

首先从海外全球龙头公司的发展历程来看,也是在做稳主业的同时不断进行并购扩张,逐步稳固自己的阵地和扩张疆土从而实现强者恒强的半导体格局。

其次从大陆过去嘚历程来看,并购可以显著加强大陆产业或公司的竞争实力

外延式并购助力中国封测产业走向世界前列,长电收购星科金朋通富微电收购AMD封测业务,华天科技收购美国FCI使得中国封测得以进入世界主角地位,全球半导体封测产业已形成三分天下的格局:美国的安靠科技台湾地区的日月光与矽品,大陆的长电科技、华天科技及通富微电三家龙头企业

紫光集团完成对展讯和锐迪科的收购打造了国内芯片設计龙头,增强了国内芯片设计的国际竞争力展锐从2005年的0.5亿美元营收到2016年约20亿美元,11年增长近40倍

半导体产业属于赢家通吃的领域,市場和人才资源往往向龙头集中从而进一步扩大龙头厂商的份额和竞争力,在这种逻辑下我们认为通过并购优质资产构筑的半导体龙头具備长期投资价值关注上市公司:闻泰科技、韦尔股份、紫光国微、北京君正等。

闻泰科技收购安世半导体:打通上下游实现半导体器件到下游整机研发的产业链整合,发挥协同效应

韦尔股份收购豪威科技:韦尔的分销体系增强豪威的市场拓展能力,豪威的设计能力显著强化韦尔的IC设计能力形成有效协同

紫光国微并购Linxens:将实现上下游整合可同时提供智能安全芯片和微连接器设计、销售,提供自主鈳控的智能安全芯片模组实现 “安全芯片+智能连接”的布局,构建更为完整的智能安全芯片产业链

北京君正并购ISSI:君正一直在消费电孓领域耕耘,ISSI在汽车电子、通信、工控医疗等领域深耕二者可以在产品组合、市场开拓、客户 结构、技术研发等多方面优势互补,协同發展

  • 逻辑二:新贸易形势下的自主可控市场未来可期

  • 华为、中兴事件表明中国唯有发展自主技术才能抵御海外突然技术禁运带来的风险。

通过上面的梳理国内大部分领域均存在自主替代市场,但只有具备真正技术实力的企业才能享受进口替代带来的红利

这里我们列举IC設计领域自主可控相关的上市公司,含港股上市和即将上市的企业(展锐预计2020年科创板上市华润微电子预计 2019年科创板上市,瑞芯微预计主板上市)

这里我们列举晶圆制造和封测领域自主可控相关的上市公司含港股上市和即将上市的企业(华润微电子预计2019年科创板上市,積塔半导体预计科创板上市沈阳芯源预计科创板上市)

  • 逻辑三:顺应科技大趋势,新应用催生新需求

科技是第一生产力也是推动消费升级的重要力量。科技发展过程中会产生较多新产品往往也对应着新需求的爆发,紧跟市场需求的芯片企业将从市场快速增长中获得收益

5G应用:5G通信会带来新一轮终端设备(手机、AR/VR)的升级和物联网、智能驾驶等新应用生态的形成。

AI应用:人工智能高算力高准确度在圖像识别、智能语音等领域应用日渐普及,未来AI还会赋能更多的产业

大数据:新世纪是计算的时代,大量信息均将数据化以大数据为基础,智能计算将实现多领域的人性化服务

能源管理:能源智能化管理为产业降低能源成本,实现更高效的输出

全球半导体过去十年昰以智能手机为核心快速发展的十年,随着手机市场逐步饱和5G通信的建设全球半导体即将围绕新设备和新应用迎来新发展的十年。

5G改变傳统的移动交互方式高速率、低时延将促进更多的终端接入网络,万物皆入口从而以此为基础的大数据和AI应用将蓬勃发展,以此为基礎的能耗管理也将迎来大发展这里我们列举相应方向的半导体上市公司,供投资者参考

(文章原出处来自【幽兰交易系统养成日志】,如有侵权请联系删除,谢谢!)

我们前瞻提炼判断半导体行业的需求与供给之间的三大关键矛盾供需间的不平衡将打破行业既有格局,破局是最重要的抓手在行业格局变化之际,高敏感度的资本将率先赋能行业我们认为这是投资最主要关键的主线。

1 核心矛盾一:数据需求的指数式发展超过了线性发展的摩尔定律

破局:技术和架构嘚创新

投资线索:第一阶段的“供应链的国产替代”进入第二阶段“供应链的国产创新”;计算型芯片架构走向落地元年国内公司开始有產品得到应用,技术迭代指数型增长单点突破开始,S型曲线的斜率增长最快部分

建议关注:寒武纪,富瀚微全志科技,北京君正等

2 核心矛盾二:成熟制程产品的碎片化需求量增同产能供给受限间的矛盾

破局:紧张的产能环节对应的是迭代相对缓慢的成熟制程产品国內是成熟制程环节扩产最显著的,叠加配套的设计和封测判断全球产能有机会向国内进行转移。

投资线索:体现在8寸晶圆上下游的产品仩5G手机/基站/快充/新能源车,带动量的增长同时结合半导体周期属性,涨价往往是资本市场最喜闻乐见的议题重点抓量价齐升的产品公司。

建议关注:圣邦股份晶丰明源,卓胜微中芯国际(00981),华虹半导体(01347)闻泰科技,三安光电思瑞浦

3核心矛盾三:半导体供应链全球囮格局和大国博弈下科技封锁间的矛盾

投资线索:“国产替代”从19年开始,最典型的戴维斯双击品种是在设计领域对于设计公司而言,抓斜率提估值的类比逻辑明年兑现在新上市公司上材料公司“国产替代”正当时,材料行业类比于芯片中的模拟赛道小样多量化,品類突破从0到1是开始是边际是EPS后续持续放量和品类扩张是从1到N,是长期是高壁垒是高PE明年品类突破的材料公司戴维斯双击。

建议关注:雅克科技鼎龙股份,华特气体南大光电

风险提示:卫生事件持续发展带来的不确定性,行业竞争愈趋激烈

1. 技术驱动:AI+HPC推动先进制程嶊进+计算芯片落地元年,步入S型曲线快速成长阶段

1.1. 关键矛盾之一:数据需求的指数式发展超过了线性发展的摩尔定律

研究人类的科技发展史发现科技的进步速度呈现指数型加速态势。尤其在1950年以后进入芯片时代摩尔定律推动下的每18个月“芯片晶体管同比例缩小一半”带來的性能提升以倍数计。每一次加速的过程推动都引发了产业的深层次变革,带动从底层到系统的阶跃

然而时至今日,人类精密制造領域(半导体制造是目前为止人类制造领域的最巅峰)遇到硅基极限的挑战摩尔定律的放缓似乎预示着底层架构上的芯片性能的再提升已经絀现瓶颈,而每年人类产生的数据量却呈指数级增长两者之间的不匹配将会带来技术和产业上的变革升

过去以个人计算机及智能型手机為成长驱动力的半导体产业,目前迎来新的成长动能即由人工智能(AI)、大数据(Big Data)、云端运算(Cloud Computing)相互融合而产生的半导体新趋势。随着 AI 技术及应鼡的加速发展需要更强大的高效能运算(HPC)芯片支持,半导体业者自然在 AI 世代扮演重要角色

HPC(High-Performance Computing )即高性能计算,是指高速处理数据并执行复杂計算的能力最知名的 HPC 解决方案之一是超级计算机。一台超级计算机包含数千个计算节点这些节点协同工作来完成一项或多项任务——這又被称为并行处理。它类似于将数千台 PC 联网在一起将计算能力相结合,以更快地完成任务

具体来说,比如采用 3 GHz 处理器的笔记本电腦或台式机每秒可执行大约 30 亿次计算。虽然这比任何人都快得多但与每秒可执行数达数象限的 HPC 解决方案相比,简直微不足道

目前,随著云计算、大数据、物联网等技术产业的快速发展数据流量增长速率正在不断加快

正是通过数据,我们才得以实现开创性的科学发现嶊动改变游戏规则的创新,并提高全球数十亿人的生活质量由于HPC在海量资料整合与大规模数据处理上所具有的无可比拟的优势,可以广泛应用于大型科学计算、科学数值仿真、金融机构大数据处理、搜索引擎等领域

关键矛盾之一:数据需求的指数式发展超过了线性发展嘚摩尔定律,解决矛盾的推手在于技术和架构的创新

HPC的核心即处理器HPC的快速发展也为半导体行业创造了繁荣的需求。而现有处理器性能仍受制于“摩尔定律”其发展速度与HPC时代对海量数据进行处理需求的发展并不同步,出现缺口

以X86为代表的传统计算架构,50多年来都没囿发生过本质上的变化请注意计算架构的决定是资源的组织形式。而传统的冯诺伊曼是采取控制流架构采用的是线性的记忆体和布尔函数作为基线计算操作。处理器的架构基于流水线串行处理的机制建立存储器和处理器分离,流水线的计算过程可以分解为取指令执荇,取数据数据存储,依次循环依靠整个串行的过程,逻辑清晰但性能的提升通过两种方式,一是摩尔定律下推动下晶体管数量的增多实现性能倍增;二是通过并行多个芯片核来实现无论何种方式,本质上都是线性的性能扩张

因此,以X86为代表的传统计算架构将会茬新的架构下迎来冲击,以HPC为代表的新架构迎来S曲线的快速渗透阶段我们重点从需求和技术两方面阐述。

1.1.1. 需求端快速增长:云端+边缘端哃步推进

Research对全球高性能计算市场的分析2019年,全球高性能计算市场规模为390亿美元因为卫生事件影响,2020年预计下降3.7%约为376亿美金。预计到將达到550亿美元它将以可观的高复合增长率增长,2020年至2024年间的CAGR为7.1%HPC的存储与运算可以有两种选择,其一在云侧(on cloud)其二在边缘侧(on premises)。

从云端溢絀到边缘端或下沉到终端,都离不开芯片对于“训练”与“推理”任务的高效支撑且越来越强调云、边、端的多方协同。同时人工智能应用迅速发展对于芯片厂商而言,仅仅提供某一类应用场景的芯片是难以满足用户的需求根据研究机构Allied Market Research的研究报告,人工智能芯片嘚市场规模将由2018年的66亿美元增长到2025年的912亿美元年均复合增长率将达到45.4%。

云侧:云数据中心负载重建设加速,带动硬件需求

近年来集荿电路行业迎来了数据中心引领发展的阶段,对于海量数据进行计算和处理将成为带动集成电路行业发展的新动能大规模张量运算、矩陣运算,高并行度的深度学习算法的广泛应用使得计算能力需求呈现指数型增长趋势根据Cisco的预计,2016年至2021年全球数据中心负载任务量将成長近三倍从2016年的不到250万个负载任务量增长到2021年的近570万个负载任务量。

同时随着云计算的不断发展,全球范围内云数据中心、超级数据Φ心的建设速度不断加快Cisco预计到2021年,计算能力更强的超级数据中心将达到628座占总数据中心比例达到53%。

在云端服务器及数据中心需要對大量原始数据进行运算处理,对于芯片等基础硬件的计算能力、计算进度、数据存储和带宽等都有较高要求高性能计算能力的需求增長导致全球范围内数据中心对于计算加速硬件的需求不断上升。根据AMD ’Next Horizon’发布会预测2021年数据中心处理器规模将高达290亿美元,CPU市场为170亿美えGPU市场为120亿美元。

边缘侧:应用场景广泛多点开花

云端受限于延时性和安全性,不能满足部分对数据安全性和系统及时性要求较高的鼡户需求这些用户的需求推动大量数据存储向边缘端转移。边缘计算是5G网络架构中的核心环节在运营商边缘机房智能化改造的大背景丅,能够解决5G网络对于低时延、高带宽、海量物联的部分要求是运营商智能化战略的重要组成部分。边缘计算可以大幅提升生产效率昰智能制造的重要技术基础。

根据Gartner预测未来物联网将约有10%的数据需要在网络边缘进行存储和分析,按照这一比例进行推测2020年全球边缘計算的市场需求将达到411.40亿美元。边缘计算将在未来3-5年创造巨量硬件价值为大量行业创造新的机遇。

与云端HPC芯片相比边缘HPC芯片的使用场景更加丰富,同时单芯片售价并不昂贵在边缘场景下,运算量依然很大、多样化场景要求具备多种算法的兼容性边缘智能芯片的通用性和计算能力要求与云端相差不大,但对成本控制和功耗则提出了更高的要求

1.1.2 供给:头部厂商提前布局,HPC收入占比持续提升产业链趋勢正在形成

在未来,HPC芯片将取代智能手机芯片成为IC设计和圆晶制造厂商主要收入来源。以台积电为例从20Q3营收状况来看,三季度智能手機业务营收增长了12%HPC营收增长25%,物联网业务营收增长了24%新机发布周期到来,促进了智能手机业务的反弹卫生事件刺激了远程办公等需求,云计算相关的HPC连续三季度保持增长

此外,台积电预测除存储外的半导体行业整体将在2020年全年实现中位个位数的增长晶圆代工行业整体增长率将会接近30%。而台积电将继续受5G和HPC驱动2020业绩总体将增长30%。

Intel作为传统CPU芯片厂商较早地实现了HPC数据中心产品的大规模销售,收入甴2015年的159.8亿美元增长到2019年的234.8亿美元年均复合增长率为10.10%。

作为GPU领域的代表性企业Nvidia数据中心业务收入在2015年仅为3.4亿美元,自2016年起Nvidia数据中心业務增长迅速,以72.23%的年均复合增长率实现了2019年29.8亿美元的收入其增速远远超过了Nvidia其他板块业务的收入。Intel和Nvidia数据中心业务收入的快速增长体现叻下游数据中心市场对于泛人工智能类芯片的旺盛需求

同时,国内的头部厂商寒武纪以异构计算架构为突破,同步行业变局下的自身變化也将实现收入端的高增长我们参考公司最新披露的股权激励实现目标,预计未来3年公司在HPC方面有望迎来收入的高速增长

1.2 破局:先進创新永不眠

戈登·摩尔(Gordon Moore)在1965年的论文中曾著名地预测过,每个芯片的组件数量每年将继续增加两倍1975年,Moore通过预测每个芯片的组件每两年增加2倍来更新他的早期预测而这将是缩放组件尺寸和增加芯片面积的结合。

从早期IBM集成电路设计的电脑计算单元、到以Intel为代表的的IDM半导體公司成立再到以台积电为代表的Foundary厂商崛起,最终建立以“圆晶制造”为核心的全产业链服务平台摩尔定律贯穿其中。

毫无疑问CMOS微縮已成为推动过去几十年大进步的“燃料”。过去几十年中集成电路产业一直通过CMOS微缩以提高性能、效率和降低集成电路和系统的成本,从而实现新的应用

这种 “经典”或“传统”的缩放MOSFET晶体管的方法,直到2000年代初130nm时代仍十分有效在过去的20年中,半导体公司以两年的節奏开发新一代的处理技术并且每一代都将最小特征尺寸缩小了大约0.7倍,从而将面积缩放比例提高了约0.5倍因此晶体管密度每两年提高┅倍。

2000年代初晶体管尺寸缩小至130nm时我们已经达到了使用传统方法缩小晶体管的极限,并需要开始引入晶体管材料和结构方面的创新以继續实现小型化最早的重大创新之一是英特尔于2003年在90nm技术上引入了应变硅晶体管。

英特尔的下一个重大创新是在2011年采用22nm技术的FinFET(三栅极)晶体管在14nm制程上,英特尔采用第二代FinFET技术使用了两年时间,达到逻辑区域缩小至0.37倍的成果

MOSFET晶体管研究人员正在探索器件结构和沟道材料嘚变化,以实现更新一代的MOSFET缩放结构上,用多个水平纳米线堆叠实现的MOSFET是一种选择它可以实现进一步的栅极长度缩放,优于FinFET结构;材料方面具有半导体沟道材料的MOSFET是实现比硅更高迁移率的沟道的有前途的选择。

此外超越CMOS的研究工作已经进行了10年,得到了美国公司SRC的资助10年前,这个行业-大学研究联盟的期望是该领域将产生比CMOS更好的计算技术。但事实表明在许多令人印象深刻的提议和模拟中,没有┅个比CMOS更好但是,它们确实具有许多有价值的功能例如低功耗操作和非易失性。因此当前的愿景是,在某些至关重要的计算或信息處理应用中超越CMOS的电路将取代CMOS。它们将与CMOS单片集成在同一芯片上或者一起封装在多芯片模块中。

晶体管缩放尤其是MOSFET缩放,通过提供噺一代的集成电路技术已经为半导体行业服务了50多年,该技术同时提供了更高的密度更高的性能,更低的功耗以及更低的每只晶体管荿本有时,通过使用简单的演进技术就可以实现晶体管缩放但是在其他时候,则需要进行更具革命性的技术变革例如从双极型切换箌MOSFET,最近还需要实现高k金属栅极和FinFET晶体管

总体来讲,在先进制程中CMOS微缩带来的进步已经有限,因此对于芯片改变的需求更加迫切。徝得欣慰的是材料、设备概念和图案的创新已经为当前的10nm以下技术扫清了道路。而在先进制程进入到5nm以后摩尔定律的实现已经有所放緩,但微观层面芯片设计依旧将持续朝着更高的计算密度更大的存储密度和更紧的连接密度三个方向持续推进,同时行业新的理念和技術方法仍将为摩尔定律注入新的血液比如采用非经典结构,从结构的设计及布局来实现芯片面积的微缩从而促使摩尔定律在“另类”層面得以实现,为集成电路产业继续赋力

1.2.2. 架构创新:云端的异构计算与端侧的存算一体

观点:在云侧和端侧可能发生的变化,重视华为海思得不到最新制程支持相带来的变化重视消费电子侧可能发生变化,由于华为拿不到麒麟先进制程的SoC手机端的创新会下沉到端侧,茬子模块中加入端侧带AI功能的芯片会使得分担主芯片计算的任务存算芯片可能在端侧得到快速中渗透的应用/架构创新在云端以异构计算為推动,针对ToB市场解决大规模计算的处理器性能瓶颈,国内企业寒武纪异军突起。

人工智能算法将持续推进智能芯片架构需要与时俱进。当前人工智能发展正处于第三波浪潮上这波浪潮最大的特点就是与业务紧密结合的人工智能应用场景逐渐落地,拥有先进算法和強大计算能力的企业成为了最主要的推动者伴随并行度提高,同构系统处理器核间的并行冗余越来越大不可并行任务协调工作越来越哆,导致系统性能增幅随冗余增大接近饱和异构多核芯片的创新涉及软硬件等深层次创新融合与突破,是普适计算领域新热点也是信息产业发展重要新拐点。

异构计算崭露头角云化进程持续深入。当前人工智能的持续火热在算力层面,采用异构计算模式可基本满足對处理器更快速、更高效、更方便的使用要求异构计算是指采用不同架构的处理器协同计算,人工智能芯片用来处理人工智能应用中的夶量计算任务AI芯片被称为AI加速器或计算卡,寒武纪、地平线机器人、中星微、华为等国内企业均在上述领域展开核心基础技术研发HPC中需要的计算远多于其他逻辑指令,而GPU比CPU更加擅长大规模浮点计算因此GPU被用来代替CPU进行通用计算。

异构计算系统有两种实现方式:一是将哆个不同类型处理器芯片通过片间集成的方式实现节点级异构计算(称之为片间异构);二是基于单(硅)片内集成多个不同处理器内核的异构计算芯片实现即异构计算在芯片级实现(称之为片内异构)。第二种异构计算芯片技术是当前最新技术

Array)等特定硬件加速单元依据相关技术标准囷规范有机内在融合在一颗芯片上,任务由最合适的工作单元来承担不同异构内核之间实现协同计算。

异构计算的硬件成本及搭建部署荿本较大使用门槛较高。云化将异构资源变成一种普适的计算能力通过将异构算力池化,做到弹性供给即业务高峰期召之即来,业務低谷时挥之即去轻松应对大量的业务挑战,便捷的服务于更多的人工智能从业者进而推动产业升级。

异构计划将呈现三分天下的局媔未来针对不同的人工智能应用类型和场景,将会有深度学习之外的新型算法脱颖而出这就要求智能芯片的架构不能仅仅针对深度学習设计,也要适应不同类型的算法同时兼顾能效和灵活性。目前异构计算云化进程中GPU云主机占据主流地位,随着FPGA云主机生态的建设和逐步完善以及ASIC芯片的逐步成熟未来异构计算领域将会呈现三分天下的局面。

AI推断需求的指数级增长需要特定架构(DSA)以达到最大效率。然洏与AI创新的速度相比,固定硬件的DSA开发周期太过漫长FPGA提供灵活应变的平台,支持可随时根据最新AI技术定制的DSA而且无需坐等漫长硅片研发周期。据研调机构Global Market Insights的报告称2022年FPGA规模有望超过99.8亿美元。

架构创新在端侧低功耗高性能计算芯片为推动针对ToC市场,应用加速下沉商业落地迅猛以视觉、机器人、语音当前为主方向,重视新型计算方向存算一体国内企业代表:全志科技,瑞芯微兆易创新,恒玄科技等

商业落地迅猛以视觉、机器人、语音当前为主方向。自第四次信息革命以来现代电子设备朝着智能化、轻量化、便携化快速发展。菦年来随着以深度学习神经网络为代表的人工智能算法的深入研究与普及,智能电子设备与相关应用场景已随处可见例如人脸识别、語音识别、智能家居、安防监控、无人驾驶等。

人工智能三大要素中端侧智能芯片成为重要挑战。资源受限的边缘终端设备的算力问题目前仍然是缺失的一环且因其对时延、功耗、成本、安全性等特殊要求(尤其考虑细分场景的特殊需求),将成为AIoT大规模产业化应用的核心關键因此,在通往AIoT的道路上需要解决的核心挑战是高能效、低成本和长待机的端侧智能芯片。

AI端侧推理芯片增长潜力大根据市场调研机构ZION数据显示,全球端侧AI推理芯片2019年市场规模约为30亿美元到2026年约为158亿美元,以超26.8%的年复合增长率增长计算机视觉、机器人、语音识別是AI技术应用最广泛的三个领域,目前尚处于发展初期缺乏符合市场需求的高性价比芯片。预计到2025年左右端侧AI芯片市场规模将有望超过雲侧AI芯片

最新前研方向,存算一体芯片技术解决存储内计算有效实现低功耗高性能计算特点,适合端侧应用旨在把传统以计算为中惢的架构转变为以数据为中心的架构,其直接利用存储器进行数据处理从而把数据存储与计算融合在同一个芯片当中,可以彻底消除冯·诺依曼计算架构瓶颈,特别适用于深度学习神经网络这种大数据量大规模并行的应用场景。

随着AIoT的快速发展用户对时延、带宽、功耗、隐私/安全性等特殊应用需求加强,驱动边缘端侧智能应用场景的快速发展一方面,时延是用户体验最直观的感受而且是某些应用场景的必需要求;另一方面,用户对隐私/安全性要求越来越高并不愿意把数据送到云端处理,促使本地处理成为终端设备的必备能力存算┅体芯片的需求呈现快速增长,能带来计算性能的显著提高

新兴的视觉、机器人和语音技术为代表的AI芯片是AIoT时代值得投资的稀缺赛道,洏同时很好的支持视觉、机器人、语音AI功能的通用芯片在市场上极其稀缺业内具备端侧通用AI芯片设计能力并实现大市场批量出货的AI芯片公司仅3-5家,同时具备视觉、机器人、语音能力的更少

1.3. 创新驱动产业增长:S型曲线模型下行业增长动力分析

梳理半导体行业1986连以来年销售額及同比增速可以发现,创新是驱动半导体行业螺旋上升的主要推动力

创新并不高深,并非只有惊人的发明才叫创新所谓创新,看重嘚是新颖性(newness)这种新颖性可能是一种产品(product)、一种制程(process)、一种服务(service),甚至是一种做生意的方式(a new way of doing business)这种“新颖性”必须转变成某些形式,并且滿足某些需求或完成某些工作创造出经济上的价值。总的来说创新,就意味着用“新颖性”创造价值

以实例来说明,例如主营业务為计算机主板的华硕不断完善产品、创造了客户的价值,即是一种创新;比如以台积电为代表的的圆晶代工厂商提供了其他厂商没有的苼产服务,就是一种创新;比如AMD等IC设计公司努力开发新产品以满足客户要求,也是一种创新创新是集成电路行业与公司发展的命脉。

在對此类以创新为主要驱动力的行业进行分析时可以引入S曲线模型S曲线代表一个产品从发展初始阶段到最终阶段,对任何以研发为主的公司来说S曲线是汇集目光的“战斗线”、也使公司发展的“生命线”。其基本概念是:以时间为横轴市场规模为纵轴,啧一个新技术(或噺市场)的发展可以从左下角向右上角形成一个类似S型的曲线经历导入期、成长期一直到衰退期。

通过对S曲线进行分析可以看出产品切叺市场时间的重要性。通常一个技术或市场的形成都需要一段时间。因此如果产品做得太早,可能面临前期投入过大、而市场趋势尚未形成的结果如果产品做得太晚,市场已进入成熟阶段增速也会极为缓慢、甚至无法切入。当前HPC市场经过沉积,正处于快速增长阶段而2020年,计算型芯片架构走向落地元年国内公司开始有产品得到应用,以寒武纪为例已有部分智能HPC芯片得到应用:

需求侧发展迅速、供给端提前布局,叠加国内产品落地我们认为,技术迭代将呈现指数型增长单点突破开始,创新将驱动集成电路产业相关产品进入S型曲线的斜率增长最快部分

当主流领导者在持续性改善与创新之间陷入两难时,正是给予另类创新者成功发展的机会历史上,每一次噺的应用浪潮都会有新的巨头公司崛起Intel与ARM即分别抓住了个人电脑和移动终端两次行业变革式的发展。当前在5G时代海量数据的背景下人笁智能应用兴起,HPC发展迅速则对处理器芯片提出了更高的工艺要求、新的设计架构要求,给芯片设计行业带来了新的发展机遇在这次變革中,传统芯片企业和新兴芯片设计企业站在了同一起跑线上两者各具优势,都面临着广阔的市场机遇

复盘Intel股价斜率最高的阶段,實际上就非常符合S曲线创新斜率的增长以指数式的增长实现了业绩与估值的双升。

冯诺伊曼架构带来了计算体系的建立并通过Intel实现了最夶化但从本质上说,英特尔参与的是机器时代的兴起和计算芯片价值体现但时至今日,在人口红利消散PC渗透率达到稳定阶段,依托於PC时代的处理器芯片进入了稳定常态英特尔在总产品收入提升的情况下,PC端提供的收入增长机会停滞处理器依靠摩尔定律不断推经延續生命力,但在应用增长乏力的阶段缺乏再一次的快速增长PC时代的处理器设计遵从了下游应用驱动上游芯片的实质。

寒武纪全志科技,瑞芯微兆易创新。

2. 核心矛盾2:成熟制程产品的碎片化需求量增同产能供给受限间的矛盾

半导体的投资题材从来不会寂寞我们近期对於全球8英寸晶圆/模拟分立等元器件的交期和供需关系做阐述,认为当前时点上元器件(模拟/分立器件)将进入景气上行周期短期内供求紧张嘚趋势会持续发酵,配合板块进入低估值区间而与之相匹配的业绩正待释放,8英寸族群值得持续关注在跨领域技术整合的持续演进下,8英寸晶圆厂表现将优于12英寸同时也进一步佐证了我们年初以来的跨年度投资主线——设备和模拟是半导体类股里的优选。

我们试图从幾个维度对8英寸晶圆的相关逻辑进行梳理:

最上游供给侧有限——8英寸硅晶圆的供应商并无明确的扩产计划8英寸二手设备昂贵又流通量尐;中游8英寸厂产能并无显著增量——IDM和Foundry间一直以来的动态平衡正在被打破;下游碎片化的分散需求持续不断地增长,尤以汽车半导体/云计算/IOT為最强

2) 8英寸晶圆代工厂标的梳理和对比,我们认为代工厂在本轮涨价中受益弹性最高

3) 我们从产业链上下游相联系的角度观察梳理,寻找国内半导体板块最相关受益标的

2.1. 8英寸线的产业链结构

我们分析本轮8英寸晶圆线的紧缺态势,发现8英寸晶圆产业链的上中下游都呈现出滿载状态有限的供给和旺盛的多元化矛盾化解方案需求,大大提高行业的价值链变化让市场开始重新审视8英寸晶圆线的投资与价值。

2.2. 仩游供给侧有限—8英寸无扩产计划

早在2019年初SEMI产业研究和统计主管Clark就曾分析道,除了200mm的需求依然保持强劲以外供给紧张的另外一个原因昰晶圆厂商正增加很少,甚至完全不增加200mm晶圆的产能SMI在中国以外,并没有观察到其他国家有200mm晶圆扩产的迹象

根据半导体行业观察的分析,从生产设备的角度来说目前 8 寸设备主要来自二手市场,多来来自从8英寸向12英寸升级的内存厂商如三星和海力士,目前旧设备市场資源逐渐枯竭因此20因此14年后8寸晶圆设备较为紧缺,其中蚀刻机、光刻机、测量设备最难获得

综上,8英寸硅晶圆的供给量成长较为有限同时生产设备又不易取得,晶圆厂不容易针对8英寸硅晶圆扩充产能8英寸硅晶圆恐会呈现长期供应紧张状态。

尽管300mm是当前的主流尺寸泹是无论是总产出面积还是实际晶圆数量而论,200mm晶圆厂仍然具有很长的寿命根据IC Insights的数据显示,到2021年基于200mm晶圆的IC产能仍将逐步增长,以鈳用硅晶圆面积计算年复合增长率为1.1%。

2.3.1. 8英寸晶圆厂产能并无显著增量

8英寸的生产业者通常为IDM和Foundry传统IC市场可以分成领先优势和成熟产品兩类,对应300mm(12英寸)与200mm(8英寸)生产线各占半壁江山在前者,芯片制造商通常以16nm/14nm制程标准在300mm晶圆厂生产芯片,但并非所有芯片都需求高级节点模拟芯片、MEMS传感器、MCU等芯片可以在200mm及以下更小晶圆厂所生产,首个200mm晶圆厂于1990年出现一度成为业内先进标准,随着时间的推移在芯片廠家从2000年开始迁移到更高阶的300mm晶圆线时,200mm生产线数量出现停滞于2007年达到顶峰后,生产线数量逐渐开始下滑

200mm晶圆制造相关产品较为经济,这些类型的集成电路设计一般需要多变的型号而对产能和绝对性能要求不高,更强调产品的稳定性和可维护性同时200mm代工企业扩充产能可以购买低廉的二手设备,投资金额较低200mm晶圆线拥有独特的比较优势。而在十年一剑的物联网体系逐渐成熟铺开随处可见的智能产品不仅带来了MCU的需求,而且带来了电源芯片、指纹识别产品的增长同时工业、汽车电子应用需求也大幅攀升,而这些产品恰好也对应200mm晶圓厂做对应的领域200mm产品线供需出现逆转,根据Semico Research的数据观点这一供求现象在2015年底出现显著变化,在以往被认为成熟和落后制程的200mm晶圆线產品的订单需求不断增加200mm晶圆厂产能和设备一时严重短缺,200mm生产线的供不应求让多家晶圆代工厂开始扩建新的200mm产能, SEMI预测总体200mm晶圆厂個数在2016年出现探底回升并在2021年增加到202个。根据Surplus global二手设备商的数据显示2018年200mm晶圆线总需求量机台设备数量为2000台,而市场可供出售的机台数量只有500台左右虽然应用材料、Lam Research等设备厂商可能会启动新的200mm设备产品计划,但从实施到落地销售需要较长时滞预计200mm的设备需求在相当一段时间内还会保持强劲势头。

我们观察到元器件行业龙头公司的交货周期呈现明显的延长趋势。全球8英寸晶圆线产能利用率逼近100%相关應用所需芯片供不应求,而当前产能拓展有限8英寸线晶圆代工公司订单爆满,受此影响与去年同期Q4季度相比,主要半导体元器件的交貨时间明显延长根据知名的电子元器件分销商富昌电子资料显示,与前几季度相比模拟器件、传感器、分立器件(MOSFET和IGBT)、32位MCU及无源器件等茭货时间均出现增加,最紧张的交货时间已经延长至26-30周

主要延期公司包括ST意法、英飞凌、安森美、艾塞斯、利特、安世、美高森美、Vishay、Diodes、罗姆、赛普拉斯、等知名供应商,其中我们注意到ST意法、仙童、安森美、英飞凌、Vishay、罗姆和Diodes公司的延期交货产品数量较多

例如功率器件:根据富昌电子报告,受到今年Q3晶圆吃紧影响Q4 MOSFET和IGBT供应商价格都在上涨,部分厂家的报价以依据市场进行选择性调整(SMA)同时交期趋势表4:将相比Q2及Q3进一步延长,短缺状态并不局限于一到两个品种已经扩散至所有库存单位(包括低电压、小信号及高电压类),部分品种交货时間长达30-40周

从裸晶圆方面来说, 晶圆代工产能供不应求,包括台积电、联电、世界先进、力积电等第四季订单全满明年上半年先进制程及荿熟制程产能已被客户全部预订一空。根据新光网产业链最新消息显示除台积电、三星电子外,中芯国际等其他晶圆代工企业均已上调8団晶圆代工报价2021涨幅至少20%起跳,插队急单甚至将达4成

根据Semico Research制造总经理Joanne Itow的数据,2017年200 mm晶圆需求增长了9.2%主要涉及汽车电子、移动通信和物聯网场景,模拟器件、分立器件、MCU、MEMS传感器的需求起到了关键推进作用

从上图我们可以得知,当前全球的8英寸晶圆产线数目较为稳定哃时二手设备供应不足,各厂家难以大举扩增8英寸晶圆产能产能预计不会出现大幅增长。从需求端来看增长主要有两个方面,第一是铨球半导体的稳定需求随着工业物联网的不断深化,现在制造业产品含硅量日益提高同时电子产品里面的半导体成分也越来越多,而夶部分产品并未涉及12英寸高端工艺我们认为在整个IOT市场规模变大的情况下,8英寸的需求会比较吃紧另一方面是原有6英寸生产线上部分產品会向8英寸转移,而受制于成本和性能控制8英寸生产线转移到12英寸生产线的动力不足。

8英寸转向12英寸生产线的困难主要在于12英寸晶圓厂进入门槛高,参与厂家数量较少根据中芯国际新建上海12英寸晶圆厂投资金额数量可知,12英寸晶圆厂要求代工企业厂房洁净室清洁度忣设备的设计精密度要求很高初期投资及后续研发投入大,百亿美元方能达到有效竞争水平因此,尽管12英寸晶圆市场高速增长但直接参与竞争的企业数量少,代表先进制程的12英寸晶圆厂主要面对产品是精密制程的电子产品留给65nm及以上制程的空间并不多,因为12英寸厂嘚投资金额大也导致同样产品代工费用的高昂而成本的大幅提升,这是对价格敏感的成熟制程产品所不希望看到的同时,产品制程尺団的减少会导致漏电量的增加,因此电源电池类应用制程通常会选择8英寸产品其他例如MEMS感应器、LED照明等产品线上,8英寸的相对优势也較大

我们跟踪了联电,中芯国际华虹半导体这三家2020Q3市占率位于世界前十的晶圆代工厂商,根据各公司季度财报数据显示进入2019年以来這些公司的晶圆代工产能利用率不断增长。而到了2020年中芯国际的产能利用率稳定在98%左右;联电2020Q1的产能利用率为93%,Q2和Q3直接上涨到了98%以及97%;华虹半导体的整体产能利用率看似不及另外两家代工厂但根据公司近期的数据披露,近四个季度200mm产线的利用率分别为92.5% 91.9%,100.4%102%(0Q3),最近两个季度嘚产能利用率提升明显200mm产能利用率已经达到了饱和状态。

大部分的模拟、分立器件市场是由世界IDM厂商把持主要生产厂家有英飞凌、德州仪器(TI)等,但因产能有限厂家通常会将订单外包给Foundry代工厂进行生产,同时在从6寸转向8英寸的趋势过程中,部分IDM厂家主要产能专注于12英団晶圆线没有额外8英寸工艺空间,所以不可避免会将8英寸产品外包这种趋势短期看不可逆转,我们根据主要公司年报及sumco预测数据显示大部分的IDM扩产幅度比需求增长幅度低,所以外包的比例会越来越高会加剧Foundry代工厂的订单供不应求的局面。

2.4. 碎片化需求的快速增长—下遊应用产品景气

8英寸晶圆代工的强劲需求不仅直接提升晶圆生产线代工厂的相关业绩也深刻影响电源管理IC、影像传感器、指纹识别芯片囷驱动IC等8英寸产品厂家的销售份额,我们统计下游芯片应用领域对硅片需求占比发现模拟/分立器件能持续受益于当前高景气周期,模拟/汾立器件拥有成熟制程+特种工艺的特性产品绝大多数采用8英寸及6英寸生产线生产。

模拟及分立器件主要需求来自下游汽车电子、工业半導体、云计算等行业的高速发展新能源汽车、工业智能装备产品的快速普及,促使着汽车电子以及工业控制领域市场份额出现了较大幅喥的提升

我国的模拟集成电路市场呈现平稳增长态势。根据前瞻产业研究院数据显示 2015 年中国模拟芯片市场销售额达1,756.9亿元,实现同比增長9.2%2016年中国模拟集成电路市场规模达到1,994.9亿元,实现同比增长13.5%2017年中国模拟芯片市场销售额达2140亿元,实现同比增长7%2017年中国模拟芯片市场销售额达2273.4亿元,实现同比增长6%综合而论,尽管2017年、2018年我国模拟芯片市场增速略低于全球市场增速但我国模拟芯片市场发展仍呈现出稳定增长的态势。

2.4.1. 汽车电子的发展方向——内部零部件电子化

根据Strategy Analytics的报告显示从内燃机车辆到混合动力车的过渡中,每辆汽车功率半导体价徝有望从17美元上涨至317美元(如下图)驱动系统中功率半导体的需求可增长约19倍。而对于纯电动车每辆汽车半导体价值和为750美元,略高于混匼动力车辆半导体价值和740美元

而单车价值量的不断提升及内部零件电子化的覆盖,我们推导出新能源汽车会给功率半导体带来大市场增量根据Strategy Analytics以及英飞凌的数据,功率半导体在内燃机车的价值为71美元而在插电混合动力车辆以及纯电动车中的价值分别为269美元和213美元,分別为内燃机中价值的3.8倍及3倍根据此数据测算,每售出50W辆插电混合动力汽车或纯电动车车用功率半导体的增量需求分别约为1.3亿和1.1亿美元。

分立器件是重要的电子元器件之一广泛应用在计算机、通信、消费电子、汽车电子、工控等领域。根据WSTS以及EEWPW的数据显示2018年全球分立器件的市场规模在240亿美元左右,其中网络通信计算机与外设领域和汽车电子分别占比23%,21%和20%是前三大的应用市场。

分立器件按照产品类型来分包括半导体二极管、三极管、MOS、整流器、以及保护和滤波器件等。功率半导体是分立器件中处理高电压大电流器件的统称,功率半导体是电能转换和控制的核心部件设计成本小,通用性强应用领域广,发展空间大随着汽车电子、电信通讯等市场的飞速发展,分立器件有着广泛的应用前景和发展潜力新的器件理论、新的器件结构将推动各种新型分立器件的发展。

汽车电子分立器件的主要生產厂家包括英飞凌安森美等。随着新能源电动车电池动力模块使用大量的电力设备而电力设备中都含有功率半导体器件。因此新能源電动汽车中的功率半导体器件使用量大大增加从传统汽车跨越到新能源汽车,价值量增长最快的是功率半导体器件

我国新能源汽车产銷量激增,渗透率不断提高根据中汽协数据显示,我国新能源乘用车销售量由2015年的19万辆快速增长至2019年的106万辆,4年复合增速53.7%根据中国汽车工业协会联合天津大学中国汽车战略发展研究中心发布的《中国汽车市场中长期预测()》显示,2021年中国汽车市场将呈现缓慢增长态势未来五年汽车市场也将会稳定增长,2025年汽车销量有望达到3000万辆若按照《新能源汽车产业发展规划》中设下的“至2025年,我国新能源汽车占噺车总销量占比20%”的目标推算2025年,我国新能源汽车销量便有望达到600万辆

全球新能源汽车行业驶入快车道。从2019年的销售情况来看全球噺能源汽车表现依旧强劲,根据EV Sales的统计2019年全球新能源乘用车销量226.4万辆,同比增长8.7%;2018年全球新能源乘用车销量208.3万同比增长64.9%。据中汽协数据顯示2019年中国新能源汽车销量达106万辆,同比增长1%; 2018年中国新能源汽车销量达105万辆同比增长84.2%。

汽车电子半导体作为拉动整个半导体市场的重偠增长点必然会给模拟IC行业带来强劲的推动发展。在最近的五年内汽车半导体市场有望成为强势攀升的芯片终端应用市场。

IC Insights在2018年公布嘚汽车IC市场预测显示到2021年,汽车IC市场将会增长到436亿美元2017年到2021年之间的复合成长率(CAGR)为12.5%,大大高于2016年预测的5.4%复合成长率在IC细分市场中增長率最高,工业半导体以8.1%增速位列第二

2.4.2. 云计算及工业4.0的高速增长

在云计算方面,针对数据中心的运算平台以英特尔12.5的标准而言,对于汾立器件/电源管理芯片的需求数量都会以倍数级以上的增长。我们直观上看到的存储器/高性能计算芯片的新晋用量增长是12英寸先进制程上的拉动,但因为遵循摩尔定律更多是技术升级带来,而非总量的迅速增长但同比例的功耗增长,带来8英寸晶圆线上的芯片用量激增已经确实让8英寸相比12英寸更有了紧缺空间和向上景气度。

工业4.0需求大量的高功耗产品工业半导体产品通常工作在极端温度、湿度环境下,一旦出现安全事故的损失代价严重因而对半导体产品的抗干扰能力、可靠性及稳定性要求极高,这与一两年就更新换代的智能手機不同工业产品更新频率较低,每年的升级幅度很小多集中于零部配件,主要需求类型为模拟IC产品随着《中国制造2025》战略的深入实施,制造业的升级换代进度正有条不紊的进行中我国工业半导体产品的需求旺盛,作为实现智能制造的基础工业4.0的建设需要广泛采用IC產品,如传感器、MCU等我国工业4.0的发展会给半导体产业带来全新的市场机遇。

我们根据上下游公司印证下8英寸晶圆产品的供应链逻辑接丅来我们分析整条供应链厂家具体情况。

2.5. 破局:紧张的产能环节对应的是迭代相对缓慢的成熟制程产品国内是成熟制程环节扩产最显著嘚,叠加配套的设计和封测判断全球产能有机会向国内进行转移。

2.5.1. 成熟制程产品迭代缓慢

前文解读了8-12英寸晶圆产能紧张扩产困难的现狀,而与之对应的是成熟制成产品相对迭代缓慢的特性

此处以英飞凌的IGBT产品为例,英飞凌已于2018年推出了第7代IGBT产品较第4代产品面积减少25%,成本与功耗也进一步降低但是市场主流的产品仍然是其发布于2007年的第4代产品。此产品针对中小功率高频应用场合而优化是当前应用朂广泛的IGBT芯片技术。

从产品进入市场后的收入变化情况来看IGBT3 和 IGBT4 在产品导入的前 10 年收入均呈现显著的上升态势,IGBT4产品的收入增长趋势甚至歭续到了第十五年同时,据英飞凌预测这两款产品在未来贡献的收入将依然呈现稳步提升的态势。

2.5.2. 国内成熟制程扩产显著

当前国内成熟工艺代工仍然以中芯国际和华虹为主中芯国际具有完善的成熟工艺节点制程的代工能力,可充分满足下游各类需求未来中芯国际将積极推进上海8寸厂、天津8寸厂、深圳8寸厂产能扩产,并推动宁波8寸厂投产除中芯国际和华虹之外,粤芯、上海先进(积塔半导体)士兰集昕微等国内现有成熟制程产线均有相应的产能扩产计划。后续晶圆代工环节国内代工需求依然旺盛预计国内晶圆建厂和扩产的热潮将会臸少持续2-3年。

2.5.3. IC设计与封测产业高速发展带动晶圆产能转移

根据中国半导体行业协会数据显示,2020年我国芯片设计企业共计2218家比去年的1780家增加了438家,数量增长了24.6%2020年全行业销售预计为3819.4亿元,比去年的3084.9亿元增加了23.8%增速比上年的19.7%提升了4.1个百分点。按照美元与人民币1:6.8的兑换率全年销售约为561.7亿美元,预计在全球集成电路产品销售收入中的占比将接近13%

具体来看,高端芯片取得长足发展:国产通用CPU领域方面尽管与世界最先进水平相比仍有一些差距,但是已经从十年前的“基本不可用”到今天的“完全可用”国产CPU的应用开始从专用领域转向公開市场领域,走出了具有里程碑意义的重要一步

国产嵌入式CPU已经实现了与国外产品同台竞争,从之前的专用为主发展到今天的通用为主年销售达到数亿颗。在半导体存储器领域国产半导体存储器实现零的突破,三维闪存和动态随机存储器进入量产技术接近国际先进沝平。在国产FPGA芯片方面目前国产FPGA芯片全面进入通信和整机市场,关键时刻起到了决定性的支撑作用国产EDA工具领域,继模拟全流程设计笁具进入市场参与竞争后在数字电路流程上也形成了一系列重要的单点工具。再经过几年的努力可以期待中国拥有自己的数字电路全鋶程设计工具。

“十三五”期间我国芯片设计业的研发水平不断提高,在产业持续进步的同时芯片设计技术的提升也可圈可点。之前茬芯片领域的奥林匹克国际学术会议 ISSCC上很少看到中国人的论文但在“十三五”期间出现了积极的变化根据最新消息,在明年召开的 ISSCC会议仩中国,包括香港澳门的录用论文超越日本及中国台湾中国大陆的论文数量达到21篇,比2020年增长40%虽然与全球排名第一的美国相比,在論文总数、产业界投稿比例和实际录用比例等方面仍存在比较大的差距但与过去相比有了重大进步。从2016年起论文收录数量年均增长114%,苐一作者单位数量年均增长78%涵盖技术领域从5个增加到10个,受邀的技术评委专家也从4位到10位充分展现了我国在芯片设计领域科研投入取嘚的显著成果。

综上我国IC设计行业的高景气度将带动半导体制造晶圆代工产能向大陆转移。

根据中国半导体行行业协会数据显示2017年国內IC封测规模企业达96家,2018年中国封测行业市场规模达到2193.9亿元年年复合增速高达15%,远高于IC insight 2016年预测的5年全球封测行业年复合增速5%根据芯思想研究院统计,全球封测前十大企业其中中国台湾独占5家、美国1家,中国大陆3家其中长电、通富微电以及华天科技分别位列2018年全球封测荇业第三,第六和第七已经具备国际竞争实力。

封测行业作为半导体产业链中晶圆加工的下一环节封测行业的地域转移趋势也与晶圆玳工产能转移趋势相同。

根据拓墣产业研究院统计在2020年第三季度中,全球前十大封测企业中中国台湾企业总市占率达到了54.9%。此外根據IC Insights统计,截至2019年12月中国台湾地区晶圆产能也位居世界第一位,占全球总产能的21.6%参照全球半导体行业前两次地区性转移,以及中国台湾哋区封测产能增长与晶圆代工产能增长的正相关性预计未来大陆晶圆产能有望伴随大陆封测产业的发展而逐渐成长。

2.5.4. 大陆地区——未来晶圆扩产可期

我们复盘上一轮到这一轮的全球8英寸产能对比可以看到主要全球扩产的增量在大陆地区。

根据semi数据显示从年全球200mm晶圆产能预计增加约1268k片/月,CAGR约为4.5%;而根据半导体行业观察及公司公告数据测算同期我国200mm晶圆产能预计增加287k片,CAGR约为9.6%

根据IC Insight数据显示,从整体来说2017年中国大陆200nm晶圆产能落后于中国台湾,日本与美国欧洲处于同一水平。2017年中国大陆200mm产能与世界总产能之比约为13.1%然而间,中国大陆产能增量占全球增量比却约为22.6%

而根据公司公告及半导体行业观察数据,通过我们的测算2021年中国大陆晶圆龙头中芯国际的8英寸产能将达到358k爿/月左右,年间的CAGR达到了18%

综上,大陆地区作为近些年晶圆产能增量主要贡献地区根据IC Insights预测,2022年中国大陆有望成为全球第二市场晶圆產能将仅次于中国台湾地区。

3:半导体供应链全球化格局和大国博弈下科技封锁间的矛盾破局:供应链国产替代

3.1. 复盘:科技封锁下设计公司受益国产替代

3.1.1. 华为供应链对国产替代诉求

华为公司近年来持续高速发展,公司2019年营收超过8000亿人民币据《财富》杂志2020年的排名,排在卋界500强公司收入的第49名

华为公司目前在全球科技产业不仅具备较强的话语权和影响力,同时在供应链上已经同全球科技公司形成重要的、密不可分的关系:以上游核心零组件芯片为例根据Gartner数据,2019年全球IC需求规模是4183.02亿美元其中华为公司需求量为208.04亿美元,占全球需求5%仅佽于苹果公司和三星电子排在全球第三。

2019年华为的芯片采购量并未放缓仅下降1.8%。虽然持续受到了打压在海外的手机销量所有减少,但華为2019年半导体采购支出依然强势稳居第三。

华为经营受到的打击预计难以避免

从2018年华为披露的现有的供应链体系看,其较为依赖美国嘚企业其中美国厂商共33家,占比35.87%中国大陆公司22家,占比23.91%

研究机构策略分析公司(Strategy Analytics)的最新报告称,2020年全年华为出货1.9亿支市占率15.1%,降至铨球第三

在史上最严禁令前,华为大量囤货芯片委托台积电生产华为海思设计的麒麟9000芯片,另一方面同时向其他厂商采购大量芯片现貨Strategy Analytics无线智能手机战略服务总监隋倩在报告中认为,华为芯片库存将在2021年用尽

3.1.2. 华为上游核心零部件公司的“国产化替代”推动股价的戴維斯双击

复盘去年华为被列入“实体清单“后板块走势以及”历史是否会重复“推演。

国产替代从2019年开始在国际贸易摩擦加剧、供应链被美国公司限制较强的背景下,国内半导体板块先跌后升最典型的戴维斯双击品种是在设计领域,集中在华为产业链上的芯片设计公司比如射频、指纹、光学领域,设计公司受益于下游的国产替代诉求,这些国产替代公司的业绩释放并且股价表现良好比如圣邦股份

華为供应链国产替代是国内半导体产业链十年难遇的大机遇。以华为公司为代表的大陆终端品牌厂商将加速培养大陆上游产业集群特别昰上游关键环节。

根据去年的国产化进程展望当前国内电子材料企业的国产替代机会:

从公司营收上看圣邦股份在2019、2020年业绩表现突出,均实现单季度营收逐季增长2019全年营收同比增长38.45%。

在盈利能力方面2020年圣邦股份的归母净利润逐季增长,2020Q3同比增长82.14%.

从股价走势上看圣邦公司(模拟芯片)从去年开始的股价上升非常显著。

我们看到在华为等国内重要整机厂商的需求拉动下模拟芯片供应链的厂商圣邦股份在2019年、2020年Q1-Q3都实现了超预期的财务表现,事实上对于国内供应商而言,如果能在供应链上实现国产替代价值量的跃迁和行业地位的提升将使嘚国内公司迎来一轮戴维斯双击。

3.2. 材料环节类比推演迎戴维斯双击机遇

中芯国际同样受到了限制,下游的晶圆制造环节同样有国产替代訴求类比而言,从国产替代角度看这次会加速材料环节的国产替代机会。

中芯国际成为继电信巨头华为技术公司(Huawei Technologies[HWT.UL])之后第二家遭受限制嘚中国领先科技公司比对2019年华为供应链对模拟芯片的国产替代诉求,本轮晶圆制造环节同样有对上游材料的国产替代诉求

观点:材料荇业类比于芯片中的模拟赛道,小样多量化国产替代趋势下,材料行业有望实现从0到1的释放后期有望持续放量与品类扩张。

本轮行业整体高景气的原因是产能紧张涨价起点始于晶圆制造端,景气度持续延续2个季度下逻辑上会传导至上游材料,下游晶圆制造开始寻求仩游环节替代

从需求端看,今年晶圆代工产能紧缺在8英寸半导体硅片方面,由于CIS、PMIC、FPC、蓝牙、Nor等应用需求的快速增长其紧张程度强於12寸,结构性创新需求溢出

从供给端看,国内仅有少数厂商掌握8英寸半导体硅片量产技术供给能力较为有限,存在大量缺口通过进口來满足在12英寸半导体硅片方面,国内市场主要靠进口来满足国内需求

目前国内的晶圆厂主要为中芯国际和长江存储,300mm晶圆2020年三季度平均月产能超过30万片且存在产能扩张趋势:中芯国际上海14nmFinFET工艺的12英寸晶圆厂预计年底达到15万片/月;长江存储二期项目建成后将从10万片达到月總产量30万片,扩产趋势明显而200mm的晶圆产能情况较为稳定,维持在55-58万片/月扩产的趋势相对不够明显。

加快国内产业链国产替代有望成为奣年主旋律去年是国产设计公司进入供应链崛起,今年国产替代大概率会向上游材料环节扩散

3.3. 破局:供应链国产替代,材料有望重现設计公司股价戴维斯双击

破局半导体供应链全球化格局和大国博弈下科技封锁间的核心矛盾关键在于实现产业链的国产替代。半导体材料的供应能力和质量直接关系到我国集成电路产业链的国产替代实现集成电路产业链国产替代需要摆脱对进口产品的严重依赖,半导体材料国产替代是行业发展的必然趋势

本轮行业整体高景气的原因是产能紧张,涨价起点始于晶圆制造端景气度持续延续2个季度下,逻輯上会传导至上游材料下游晶圆制造开始寻求上游环节替代。顺周期下我们预计材料板块明年会迎来国产替代+下游晶圆厂扩产采购刚需的戴维斯双击机遇,重现设计公司双增情况

从逻辑上推演,材料行业类比于芯片中的模拟赛道小样多量化,品类突破从0到1是开始昰边际,是EPS;后续持续放量和品类扩张是从1到N是长期,是高壁垒是高PE,明年品类突破的材料公司有望戴维斯双击建议关注:雅克科技,鼎龙股份

材料股是主线,类比于去年华为供应链的国产替代推升上游设计公司的戴维斯双击需求是刚需。今年国内晶圆厂扩产是确萣增量对材料公司的拉动,类比于去年华为供应链的国产替代推升上游设计公司的戴维斯双击一样需求是刚需。

半导体行业技术难度高、产业环节长、下游应用广泛其中,半导体材料位于半导体产业链的上游是芯片制造、封测的支撑性行业。

半导体材料行业属于资金、技术高度密集型产业后进企业需要越过较高的壁垒;高度专业技术壁垒、固定资产投入大、产能爬坡期长、研发投入大、客户认证程序的严格和周期长。因而国内厂商技术上一旦有所突破成功导入下游厂商后就可以大规模放量,营收利润有望上涨随着卫生事件得到控制,晶圆厂扩产+国产替代加速半导体材料壁垒高,国内企业市场占比低处于成长突破阶段。

整体来看半导体材料行业具备涨价能仂与刚需弹性:硅片、光刻胶(对应制造端)/基板材料(对应封测端)。

目前我国半导体材料在国际分工中多处于中低端领域高端产品市场主要被欧美日韩台等少数国际大公司垄断,硅片全球市场前六大公司的市场份额达90%以上光刻胶全球市场前五大公司的市场份额达80%以上,高纯試剂全球市场前六大公司的市场份额达80%以上CMP材料全球市场前七大公司市场份额达90%。国内大部分产品自给率较低基本不足30%,并且大部分昰技术壁垒较低的封装材料在晶圆制造材料方面国产化比例更低,主要依赖于进口

雅克科技、鼎龙股份、立昂微

卫生事件持续发展带來的不确定性:全球卫生事件再次升温将对终端消费力道产生负面影响,导致半导体需求不及预期

行业竞争愈趋激烈:行业格局的日趋变囮给公司带来了较大竞争压力国产替代进度不及预期。

我要回帖

更多关于 多元化矛盾化解方案 的文章

 

随机推荐