状态机与cpu相比 其优点包括

       嵌入式系统是以应用为中心以計算机技术为基础,软件硬件可裁剪适应应用系统对功能、可靠性、成本、体积、功耗严格要求的专用计算机系统。它具有自主的信息處理能力

1) 与应用密切相关,执行特定的功能

2) 嵌入式系统都是实时系统有时限要求

3) 嵌入式操作系统一般为多任务实时操作系统

4) 系统可靠性要求高(因为使用环境可能很恶劣)

6) 嵌入式系统本身不具备自举开发能力,需要交叉开发环境和调试工具

2) 外围电路:I/O接口控制器电路、时钟电路、各式总线 等

3) 外部设备:RAM、ROM、Flash闪存、键盘、LED、液晶屏、触摸屏、手写笔 等

含操作系统的嵌入式软件(LOSES)的组成

       板級支持包(BSP)、硬件驱动程序、嵌入式实时操作系统(RTOS)、嵌入式中间件、应用程序API接口、组件库、嵌入式软件

试说明嵌入式系统和PC系统嘚主要差异在哪些方面

1) 体积小、软硬件可裁剪、成本低、可嵌入到其他系统中是嵌入式的主要特点,也是他们的主要差别。

2) 处理器不哃一般嵌入式系统用的是arm架构的处理器,属于专用处理器一般的PC机用的是X86架构的处理器(intel、amd),属于通用处理器

3)嵌入式系统与通鼡计算机系统有着完全不同的技术要求和技术发展方向。通用计算机系统的技术要求是高速、海量的数值计算其技术发展方向是总线速喥的无限提升、存储容量的无限扩大;而嵌入式计算机系统的技术要求则是智能化控制,技术发展方向是与对象系统密切相关的潜入性能、控制能力与控制的可靠性不断提高

?    低档处理器多采用哈佛结构,高档处理器多采用冯·诺伊曼结构。

?    较大的程序存储器(用于固囮已调试好的控制程序)

?    较小的数据存储器(用于存放少量的随机数据——高速)

       看门狗定时器是一个用来引导嵌入式微处理器脱离死鎖工作状态的部件它是一个专用计数器,能够自一个指定的时间间隔后复位微处理器

其原理是系统启动后,初始化程序向看门狗计数寄存器写入技术初值;此后每经过一个预定的时间间隔看门狗执行一次计数如果软件和设备正常工作,那么看门狗计数寄存器中设定的計数值计满时系统会充值看门狗计数寄存器的计数初值让它继续计数,并且一直循环下去这样,看门狗不会因计数器值计满而重新启動系统若软件和设备工作发生故障或者机器死锁,必然导致看门狗在计数器计满后得不到重新填入的计数初值于是产生计数溢出;一旦出现溢出,看门狗将产生一个复位信号并重新复位系统

       端序表示多字节数据存储时数据在内存中的存放顺序,有大端序和小端序之分每一个计算机在运行时只能采用一种端序。

举例:存放32位字的00

32位字存储单元的最低2位地址


ARM处理器的基本特点

2) 小体积、功耗低、成本低、性能高

3) 全球众多合作伙伴(第三方开发者广泛支持)

看门狗定时器的主要功能是什么

       看门狗定时器是一个用来引导嵌入式微处理器脫离死锁工作状态的部件。它是一个专用计数器能够自一个指定的时间间隔后复位微处理器。

其原理是系统启动后初始化程序向看门狗计数寄存器写入技术初值;此后每经过一个预定的时间间隔看门狗执行一次计数。如果软件和设备正常工作那么看门狗计数寄存器中設定的计数值计满时系统会充值看门狗计数寄存器的计数初值,让它继续计数并且一直循环下去。这样看门狗不会因计数器值计满而偅新启动系统。若软件和设备工作发生故障或者机器死锁必然导致看门狗在计数器计满后得不到重新填入的计数初值,于是产生计数溢絀;一旦出现溢出看门狗将产生一个复位信号并重新复位系统。

在设计ARM嵌入式系统时如何对处理器进行选型?

?  完善友好的工具链

?  茬线系统编程、在线系统调试

2) 处理器I/O接口选择

3) 处理器存储系统选择

?  寻址空间(容量)

?  程序存储器、数据存储器、特殊寄存器

?  技術支持与售后服务

处理器核系列:ARM7系列

ARM处理器的工作模式

?    当处理器运行在用户模式下时某些被保护的系统资源是不能被访问的

?    常用於处理中断或异常,以及需要访问受保护的系统资源等情况

2) 通过外部中断(IRQ、FIQ)或异常处理(来自内部)改变

软件方式改变工作模式举唎

ARM处理器的工作状态

在程序的执行过程中处理器可以随时在两种工作状态之间切换

处理器工作状态的转变并不影响处理器的工作模式和楿应寄存器中的内容

ARM微处理器在开始执行代码时总是处于ARM状态

?    对于加/减法运算指令,当操作数和运算结果为补码表示的带符号数时V=1表礻符号位溢出

?    加法运算(包括比较指令CMN):当运算结果产生了进位时(无符号数溢出),C=1否则C=0

?    减法运算(包括比较指令CMP):当运算時产生了借位(无符号数溢出),C=1否则C=0

?    对于包含移位操作的非加/减运算指令,C为移出值的最后一位

该位反映处理器的运行状态

?    对于ARM v5忣以上的非T系列处理器当该位为1时,执行下一条指令以引起未定义的指令异常;当该位为0时表示运行于ARM状态

这些位决定了处理器的工莋模式

ARM指令集程序与Thumb指令集程序如何相互跳转?请写出示例代码

       指令集模拟器(ISS)是用来在一台计算机上模拟另一台计算机上目标程序運行过程的软件工具,是一个纯软件系统

       JTAG是一种在线调试接口,即OCD接口JTAG的建立使得集成电路固定在印制电路板(PCB)上,只通过边界扫媔便可以被测试JTAG是面向用户的测试接口,也是ARM系列处理器和其他嵌入式处理器的测试技术的基础

       在ARM嵌入式系统开发过程中,软件人员能够利用主机上的集成开发环境通过JTAG协议转换器和JTAG接口,直接控制ARM处理器的内部总线、I/O口等信息从而达到调试的目的。

     JTAG将PCB上所有的节點连接到一个很长的移位寄存器的二进制位上进行测试每个二进制位表示电路中的一个节点。实际的JTAG串行数据流可能长达几百位

常用嘚片上调试技术有哪几种?

       ARMulator是ARM公司推出的ARM处理器的指令集模拟器它作为插件集成集成开发环境的调试器中,程序员不需要ARM开发板就可以編译、调试和测评ARM代码ARMulator不仅可以仿真ARM处理器的体系结构和指令集,还可以仿真存储器和处理器外围设备

ARM指令的一般语法格式

ARM处理器的尋址方式

BL分支指令(调用子程序)

;R3寄存器的值是指向src的地址指针

ARM的4个分支指令B、BL、BX、BLX的功能有何区别?

B:分支指令跳转限制在当前指令嘚±32MB范围,目标寄存器存要跳转的地址单元

BL:带链接的分支指令跳转限制在当前指令的±32MB范围,可以直接写地址标号

BX:分支并可选地交換指令集可以在ARM指令和Thumb指令集之间跳转,目标寄存器存要跳转的地址单元

BLX:带链接分支并可选地交换指令集可以在ARM指令和Thumb指令集之间跳转,可以直接写地址标号可以附加偏移地址

;跳转到R2寄存器指向的地址单元

;R1指向的可以是Thumb指令集

SWP指令的功能是什么?

       交换指令(SWP)是Load/Store指囹的特例它用于将存储单元和寄存器中的字或者无符号字节相交换,即交换数据的读取和存入组合在一条指令中通常把这两个数据传輸结合成一个不能够被外部存储器访问分隔开的基本操作,因此SWP指令操作是一个原子操作SWP指令也成为信号量指令。

ARM处理器软件中断指令SWI嘚功能是什么

       SWI是软件中断指令,用于产生SWI异常中断以实现在用户模式下调用操作系统的监控功能程序,它将处理器置于管理模式(SVC)

ARM处理器如何完成寄存器的移位操作?

举例说明什么是LDR和STR指令的回写前变址、前变址和后变址三者有什么区别?

;此语句将地址data加R2寄存器徝

;再加28得到的单元作为访问地址。

;取出一个32位字加载到R3寄存器

;完成之后R2的值不变。

编写汇编程序将内存连续地址单元10个数复制到内存的另一区域。

编写汇编程序完成内存连续地址单元10个整数累加,结果存入内存同时输出

编写10!的整数阶乘运算,输出结果

采用冒泡算法,完成内存中10个整数的排序输出排序结果。

编写一个ARM数据块拷贝汇编子程序假设R0存放的是源数据的首地址,R1存放的是目标数据哋址R2存放数据块的总长度。

编写一个求阶乘N!的ARM递归汇编子程序要求N值不大于12。

       根据功能的不同ARM工具可分为编译器、汇编器、链接器、主机调试服务器、目标机调试代理程序、嵌入式实时操作系统、函数库、评估板、JTAG仿真器、在线仿真器 等

1) 指令集模拟器:是完全基于主机的软件,在主机上模拟了目标机中处理器的功能和指令

2) 驻留监控软件:一段运行在目标板上的程序。

3) JTAG仿真器:通过ARM芯片的JTAG边界掃描接口与核通信进行调试

4) 在线仿真器:使用仿真头完全取代目标板上的,可以完全仿真ARM芯片的行为

5) 调试器:ARM嵌入式开发套件全蔀工具集合之中的一种。

ARM嵌入式中断控制器

ARM系列处理器有两种中断请求类型:普通中断请求(IRQ)和快速中断请求(FIQ)

IRQ中断请求的优先级低於FIQ

S3C44B0X处理器能够处理30个中断源其中内建的中断控制器可接受26个中断源的中断请求信号。4个外部中断(EINT4/5/6/7)2个UART错误中断(UERROR0/1)。

S3C44B0X的中断向量地址范围为20~C0(20之前放的是异常向量中断)

注意,EINT4、EINT5、EINT6、EINT7公用同一个中断请求元因此ISR(中断服务子程序)需要通过读取EXTINTPND3~0寄存器来区别这4个Φ断源,并在处理结束时通过将EXTINTPND3~0中对应位置1来清除该位 UART0、UART1接收错误共用一根中断请求线。

记录中断请求信号的到来

允许/禁止FIQ、IRQ、向量中斷

标记中断请求是IRQ还是FIQ

标记中断源是否正处于中断服务状态

中断服务悬挂清除寄存器

S3C44B0X的中断优先级产生模块

1) 通过软件查询PEND寄存器决定中斷优先级

2) 通过硬件接线决定中断优先级也就是向量中断模式。

S3C44B0X采用硬件决定中断优先级的方式在多个中断源同时申请中断时,由硬件优先级逻辑确定哪个中断应该得到响应

中断向量表地址位于:0xx处

放一条跳转指令,跳到中相应中断源的中断服务程序入口地址处(也鈳采用软件查询方式但延迟比较大)

注意,只有IRQ支持中断向量表FIQ不支持中断向量表。

       S3C44B0X的DMA控制器有4个通道其中有两个通道称为ZDMA,它们被连到SSB总线上;另外两个通道称为BDMA是SSB和SPB总线之间的接口层,因此成为桥DMAZDMA和BDMA都可以由指令启动,也可以由内部外设和外部请求引脚来请求启动

       S3C44B0X有6个16位定时器,它们都可以工作在基于中断或DMA的操作模式其中,定时器0、1、2、3、4有脉宽调制功能;定时器5只是一个内部定时器洏无输出引脚

S3C44B0X处理器能够管理多少个中断?它们的中断优先级判优机制是什么

如何把ARM中断服务子程序的入口地址写入中断向量?

就ARM处悝器而言进入中断服务子程序入口地址的方法有两种,一种是中断向量式另外一种是非中断向量式,这两种方式有何不同

1) 地址线囷数据线分开,可以向SRAM一样连接在数据线上

2) 可以芯片内执行,即程序代码不需要复制到RAM中再执行可以直接在Nor Flash中执行。因此Nor Flash常用作嵌叺式系统的启动代码芯片

3) 接口简单,数据操作少位交换少,极少出现坏块

1) 共用地址和数据线,需要额外连接一些控制引脚不適宜做启动代码芯片。

2) 应用程序对NADN芯片操作以“页面”为基本单位页面的大小一般是512B。

3) 若干页面构成块块的大小一般是32KB或64KB。要修妀NAND芯片中某一个字节必须重写整个页面。不可对NAND芯片中一个字节清空只能对一个固定大小的区域进行清零操作。

2) Nand Flash是串行读写设备適合大数据量的应用

3) 存储在Nand Flash里的程序不可直接执行,要复制到RAM才能执行

总线与其他存储器的连接方法一样

几乎没有坏区块,可靠性高

壞区块不可避免可靠性低

       内部集成电路总线,是一种双向二进制同步串行总线是目前系统芯片控制外围设备的常用总线。包括通用电蕗、应用电路和智能控制

       I2C总线不设置仲裁器和时钟发生器,而是通过定义一个仲裁过程来实现中线仲裁并由仲裁胜利方提供总线时钟。

       I2C总线是一个简单的双向两总线结构物理上一共有两条信号和一条地线。两条信号线分别为串行数据线(SDA)和串行时钟线(SCL)他们都昰双向I/O总线。

1) 中的每一个设备都有唯一的7位地址即可以挂接128个不同地址的设备。采用I2C总线连接的设备处于主从模式主设备既可以接收数据,也可以发送数据

2) I2C总线是一个真正多主总线,可以有许多主机共设备于一条总线上I2C总线含冲突检测和竞争功能,从而确保多個主设备同时发送数据时不会发生数据冲突

3) I2C总线是一个串行的8位双线数据传送总线

       串行外围设备接口是一种同步串行接口。它主要用於主从分布式的通信网络用四根接口线即可完成主从设备之间的数据通信。这4根接口线分别是时钟线(SCLK)数据输入线(SDI),数据输出線(SDO)片选线(CS)。

       通用异步收发器通常称为串口,它负责管理异步串行数据通信常常用于主机与嵌入式开发板之间最初调试信息通信。

2) 每个UART通道都可以工作在中断模式或DMA模式

3) 每个UART均具有两个16字节FIFO(先入先出寄存器)分别供接受和发送使用4) S3C44B0X支持发送和接受的握手模式

I2C总线、SPI总线和CAN总线的技术特点分别是什么?它们是如何实现总线仲裁的它们分别适用于何种场合?

2) 每个UART通道都可以工作在中斷模式或DMA模式

3) 每个UART均具有两个16字节FIFO(先入先出寄存器)分别供接受和发送使用4) S3C44B0X支持发送和接受的握手模式

1 、下面是一些基本的数字电路知識问题请简要回答之。

定不变的时间输入数据信号应提前时钟上升沿  ( 如上升沿有效 )T  时间到达芯片,这个  T 就是建立时间通常所说的  SetupTime 如鈈满足  Setup Time ,这个数据就不能被这一时钟打入触发器只有在下一个时钟上升沿到来时,数据才能被打入  触发器保持时间 (Hold Time) 是指触发器的时钟信号上升沿到来以后,数据保持稳定不变的时间如果 Hold Time  不够,数据同样不能被打入触发器

(2)  什么是竞争与冒险现象?怎样判断如何消除?

答:在组合逻辑电路中由于门电路的输入信号经过的通路不尽相同,所产生的延时也就会不同从而导致到达该门的时间不一致,我們把这种现象叫做竞争由于竞争而在电路输出端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象解决方法:一是添加布尔式的消去项,二是在芯片外部加电容

(4)  什么是 " 线与  " 逻辑,要实现它在硬件特性上有什么具体要求?

答:线与逻辑是两个或多个输出信号相连可以实现与的功能在硬件上,要用  OC  门来实现 (  漏极或者集电极开路  ) 为了防止因灌电流过大而烧壞 

(5)  什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别

答:  同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有凅定的因果关系  . 电路设计可分类为同步电路设计和异步电路设计  同步电路利用时钟脉冲使其子系统同步运作  ,而异步电路不使用时钟脉沖做同步其子系统是使用特殊的  “开始”和“完成”信号使之同步。异步电路具有下列优点:无时钟歪斜问题、  低电源消耗、平均效能洏非最差效能、模块性、可组合和可复用性

TTL    CMOS  器件可以互换。但是需要注意有时候负载效应可能引起电路工作不正常因为有些  TTL  电路需偠下一级的输入阻抗作为负载才能 

(6)  请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图  ( 数据接口、控制接口、锁存器  / 缓冲器 )

典型输入设备与微机接口的逻辑示意图如下:

2 、你所知道的可编程逻辑器件有哪些

包括原理图和 PCB )  到调试出样机的整个过程,在各环节应紸意哪些问题

(1) 原理图设计阶段

注意适当加入旁路电容与去耦电容;

注意适当加入测试点和  0  欧电阻以方便调试时测试用;

注意适当加入0欧電阻、电感和磁珠(专用于抑制 线、电源线上的高频噪声和尖峰干扰)以实现抗干扰和阻抗匹配;

自己设计的元器件封装要特别注意以防圵板打出来后元器件无法焊接;

FM 部分走线要尽量短而粗,电源和地线也要尽可能粗;

旁路电容、晶振要尽量靠近芯片对应管脚;

说明自己需要的工艺以及对制板的要求;

防止出现芯片焊错位置管脚不对应;

防止出现虚焊、漏焊、搭焊等;

先调试电源模块,然后调试控制模塊然后再调试其它模块;

上电时动作要迅速,发现不会出现短路时在彻底接通电源;

调试一个模块时适当隔离其它模块 

各模块的技术指标一定要大于客户的要求;

KCL :电路中的任意节点任意时刻流入该节点的电流等于流出该节点的电流(  KVL 同理)

6 、描述反馈电路的概念,列举他们的应用

反馈是将放大器输出信号  ( 电压或电流 ) 的一部分或全部回收到放大器输入端与输入信号进行比较  ( 相加或相减 ) ,并用比较所嘚的有效输入信号去控制输出负反馈可以用来稳定输出信号或者增益,也可以扩展通频带特别适合于自动控制系统。正反馈可以形成振荡适合振荡电路和波形发生电路。

7 、负反馈种类及其优点

电压并联反馈电流串联反馈,电压串联反馈和电流并联反馈

降低放大器的增益灵敏度改变输入电阻和输出电阻,改善放大器的线性和非线性失真有效地扩展,放大器的通频带自动调节作用

8 、放大电路的频率补偿的目的是什么,有哪些方法

频率补偿  是为了改变频率特性减小时钟和相位差,使输入输出频率同步

相位补偿  通常是改善稳定裕度相位补偿与频率补偿的目标有时是矛盾的

不同的电路或者说不同的元器件对不同频率的放大倍数是不相同的,如果输入信号不是单一频率就会造成  高频放大的倍数大,低频放大的倍数小  结果输出的波形就产生了失真

放大电路中频率补偿的目的  :一是改善放大电路的高頻特性,二是克服由于引入负反馈而可能出现自激振荡现象使放大器能够稳定工作。

在放大电路中由于  晶体管结电容的存在常常会使放大电路频率响应的高频段不理想  ,为了解决这一问题常用的方法就是在电路中引入负反馈。然后负反馈的引入又引入了新的问题,那就是负反馈电路会出现自激振荡现象所以为了使放大电路能够正常稳定工作,必须对放大电路进行频率补偿

频率补偿的方法可以分為  超前补偿和滞后补偿  ,主要是通过接入一些阻容元件来改变放大电路的开环增益在高频段的相频特性目前使用最多的就是锁相环

9 、有源滤波器和无源滤波器的区别

有源滤波器:集成运放和  R C  组成,具有不用电感、体积小、重量轻等优点  集成运放的开环电压增益和输入阻抗均很高,输出电阻小构成有源滤波电路后还具有一定的电压放大和缓冲作用。但  集成运放带宽有限  所以目前的有源滤波电路的工莋频率难以做得很高。

的所有访问都在时钟的上升  / 下降沿启动地址、数据输入和其它控制信号均与时钟信号相关。

基本输入输出系统  " 其实,它是一组固化到计算机内主板上一个  ROM  芯片上的程序 它保存着计算机最重要的基本输入输出的程序、系统设置  信息、开机后自检程序和系统自启动程序。  其主要功能是为计算机提供最底层的、  最直接的硬件设置和控制

中文简称为“通串线,是一个外部总线标准用於规范电脑与外部设备的连接和通讯。

(5) SDR :软件无线电一种无线电广播通信技术,它基于软件定义的无线通信协议而非通过硬连线实现換言之,频带、空中接口协议和功能可通过软件  下载和更新来升级而不用完全更换硬件。  SDR  针对构建多模式、多频和多功  能无线通信设备嘚问题提供有效而安全的解决方案

12 、单片机上电后没有运转,首先要检查什么

首先应该确认电源电压是否正常用电压表测量接地引脚哏电源引脚之间的电压,看是否是电源电压例如常用的  5V 。接下来就是检查复位引脚电压  是否正常分别测量按下复位按钮和放开复位按鈕的电压值,看是否正确然后  再检查晶振是否起振了,一般用示波器来看晶振引脚的波形注意应该使用示波器探头的“  X10 ”档。另一个辦法是测量复位状态下的  IO  口电平按住复位键不放,然后测量  IO  (  没接外部上拉的  P0  口除外 )  的电压看是否是高电平,如果不是高电平则多半是因为晶振没有起振。另外还要注意的地方是如果使用片内  ,一定要将  EA  引脚拉高否则会出现程序乱跑的情况。如果系统不稳定的话有时是因为电源滤波不好导致的。在单片机的电源引脚跟地引脚之间接上一个  0.1uF  的电容会有所改善如果电源没有滤波电容的话,  则需要洅接一个更大滤波电容例如  220uF  的。遇到系统不稳定时就可以并上电容试试  ( 越靠近芯片越好 )

13 、最基本的三极管曲线特性

答:三极管的曲線特性即指三极管的伏安特性曲线包括输入特性曲线和输  出特性曲线。输入特性是指三极管输入回路中加在基极和发射极的电压  VBE    由咜所产生的基极电流  I

(3)  直、交流负载线,功耗线

14 、什么是频率响应怎么才算是稳定的频率响应,简述改变频率响应曲线的几个方法

答:這里仅对放大电路的频率响应进行说明  在放大电路中,由于电抗元件  ( 如电容、电感线圈等 ) 及晶体管极间电容的存在当输入信号的频率過低或过高时,放大电路的放大倍数的数值均会降低而且还将产生相位超前或之后现象。也就是说放大电路的放大倍数  ( 或者称为增    ) 囷输入信号频率是一种函数关系,我们就把这种函数关系成为放大电路的频  率响应或频率特性放大电路的频率响应可以用幅频特性曲线囷相频特性曲线来描述,如果一个  放大电路的幅频特性曲线是一条平行于  x  轴的直线 或在关心的频率范围是条通过  原点的直线 ) 那么该频率響应就是稳定的

改变频率响应的方法主要有:  (1)  改变放大电路的元器件参数;  (2)  引入新的  元器件来改善现有放大电路的频率响应;  (3)  在原有放大電路上串联新的放大电  路构成多级放大电路。

15 、给出一个差分运放如何进行相位补偿,并画补偿后的波特图

答:随着工作频率的升高放大器会产生附加相移,可能使负反馈变成正反馈而引起自激进行相位补偿可以消除高频自激。相位补偿的原理是:在具有高放大倍数嘚中间级利用一小电容  C (几十~几百微微法)构成电压并联负反馈  电路。可以使用电容校正、  RC  校正分别对相频特性和幅频特性进行修改

波特图就是在画放大电路的频率特性曲线时使用对数坐标。波特图由对数幅  频特性和对数相频特性两部分组成它们的横轴采用对数刻喥  lg f  ,幅频特性的纵轴采用  lg |Au| 表示单位为  dB ;相频特性的纵轴仍用φ表示。

16 、基本放大电路的种类及优缺点,广泛采用差分结构的原因

基本放夶电路按其接法分为共基、共射、共集放大电路

共射放大电路既能放大电流又能放大电压,输入电阻在三种电路中居中输出电阻较大,频带较窄

共基放大电路只能放大电压不能放大电流输入电阻小,电压放大倍数和输出电阻与共射放大电路相当频率特性是三种接法Φ最好的电路。常用于宽频带  放大电路

共集放大电路只能放大电流不能放大电压,是三种接法中输入电阻最大、输  出电阻最小的电路並具有电压跟随的特点。常用于电压大电路的输入级和输  出级在功率放大电路中也常采用射极输出的形式。

广泛采用差分结构的原因是差分结构可以抑制温度漂移现象

17 、给出一差分电路,已知其输出电压  Y+   Y- 求共模分量和差模分量

18 、画出一个晶体管级的运放电路  , 说明原悝

把偏置电路简化后,就可得到图  (b) 所示的放大电路部分

T5  T6 管构成的电  流源为有源负载,采用共源形式的双端输入、单端输出差分放大电蕗由于第二  级电路从 T8  的栅极输入,其输入电阻非常大所以使第一级具有很强的电压放大能力。

第二级是共源放大电路以  N 沟道管 T8  为放夶管,漏极带有源负载因此也具有很强的电压放大能力。但其输出电阻很大因而带负载能力较差。电容  C 起相位补偿作用

C 上电压和 R 上電压,求这两种电路输出电压的频谱判断这两种电路何为高通滤波器,何为低通滤波器当  RC<<T  时,给出输入电压波形图绘制两种电路 

答:当输出电压为  C 上电压时:电路的频率响应为

从电路的频率响应不难看出输出电压加在  C 上的为低通滤波器,输出电压加在  R 上的为高通滤波器 RC<<T  说明信号的频率远远小于滤波器的中心频率,所以对于第二个电路基本上无输出第一个电路的输出波形与输入波形基本相同。

20 、选擇电阻时要考虑什么

主要考虑电阻的封装、功率、精度、阻值和耐压值等。

21 、在 CMOS 电路中要有一个单管作为开关管精确传递模拟低电平,这个单管你会用  P 管还是 N 管为什么

N  管的输出要比栅压损失一个阈值电压。因此不宜用  N  管传输高电平 P  管的输出也会比栅压损失一个阈值。同理栅压为  0

22 、画电流偏置的产生电路并解释。

基本的偏置电流产生电路包括镜像电流源、比例电流源和微电流源三种

下面以镜像电鋶源电路为例进行说明:

23 、画出施密特电路,求回差电压

24 LC  正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图

答:主要有两種基本类型:电容三点式电路和电感三点式电路。下图中  (a) (b)  分别给出了其原理电路及其等效电路

A/D  电路由取样、量化和编码三部分组成由於模拟信号在时间上是连续信  号而数字信号在时间上是离散信号,因此  A/D  转换的第一步就是要按照奈奎斯  特采样定律对模拟信号进行采样叒由于数字信号在数值上也是不连续的,也就  是说数字信号的取值只有有限个数值因此需要对采样后的数据尽量量化,使其  量化到有效電平上编码就是对量化后的数值进行多进制到二进制二进制的转换。

P  管因此要增大  P  管的宽长比,使之对称  这样才能使得两者上升时間下降时间相等、高低电平的噪声容限一样、充电和放电是时间相等

锁相环路是一种反馈控制电路,简称锁相环(  PLL )锁相环的特点是:利鼡外部输入的参考信号控制环路内部振荡信号的频率和相位因锁相环可以实现  输出信号频率对输入信号频率的自动跟踪,所以锁相环通瑺用于闭环跟踪电路  锁相环在工作的过程中,当输出信号的频率与输入信号的频率相等时输出电压与输入电压保持固定的相位差值,即输出电压与输入电压的相位被锁住这就是锁相环名称的由来锁相环通常由鉴相器(  PD )、环路滤波器( LF )和压控振荡器(  VCO )三部  分组成。锁相环中的鉴相器又称为相位比较器它的作用是检测输入信号和输出  信号的相位差,并将检测出的相位差信号转换成电压信号输出該信号经低通滤  波器滤波后形成压控振荡器的控制电压,对振荡器输出信号的频率实施控制

32 、如何解决亚稳态

亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当  一个触发器进入亚稳态时既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上在亚稳态期间,触发器输出一些中间级电平或  者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器  引入同步机制防止亚稳态传播;  (4) 改善时钟质量,用边沿变化快速的时钟信号;  (5) 使用工艺好、时钟周期裕量大的器件

33 、集成电蕗前端设计流程写出相关的工具。

集成电路的前端设计主要是指设计  IC  过程的逻辑设计、功能仿真而后端设计则是指设计  IC  过程中的版图設计、制板流片。前端设计主要负责逻辑实现通常是使用

(4) 前端功能仿真。

34 、是否接触过自动布局布线  , 请说出一两种工具软件自动布局咘线需要哪些基本元素

35 、描述你对集成电路工艺的认识

集成电路是采用半导体制作工艺,在一块较小的单晶硅片上制作上许多晶体管及电阻器、电容器等元器件并按照多层布线或遂道布线的方法将元器件组合成完整的电子电路。

模拟集成电路和数字集成电路

厚膜集成电路囷薄膜集成电路

(三)按集成度高低分类

小规模集成电路、中规模集成电路、大规模集成电路和超大规模集成电路

(四)按导电类型不哃分类

双极型集成电路和单极型集成电路。

单极型集成电路的制作工艺简单功耗也较低,易于制成大规模集成电路代表集成电路有  CMOS NMOS  PMOS 等类型

36 、列举几种集成电路典型工艺,工艺上常提到  0.25,0.18 指的是什么

制造工艺:我们经常说的  0.18 微米、 0.13  微米制程就是指制造工艺了。制造工藝直接关系到  的电气性能而 0.18

37 、请描述一下国内的工艺现状

38 、半导体工艺中,掺杂有哪几种方式

39 、描述 CMOS 电路中闩锁效应产生的过程及最后嘚结果

管下不同极性搀杂的区域间都会构成  P-N 结,而两个靠近的反方向的  P-N 结就构成了一个双极型的晶体三极管因此  CMOS 管的下面会构成多个彡极管,这些三极管自身就可能构成一个电路这就是  MOS 管的寄生三极管效应。如果电路偶尔中出现了能够使三极管开通的条件这个寄生嘚电路就会极大的影响正常电路的运作,会使原本的  MOS 电路承受比正常工作大得多的电流可能使电路迅速的烧毁。  Latch-up 状态下器件在电源与地の间形成短路造成大电流、  EOS (电过载)和器件损坏。

41 、什么叫窄沟效应

的情况下这样的器件沟道内电场很高,载流子民饱合速度通过溝道因而器件的工作速度得以提高,载流子漂移速度通常用分段来描述,认为电场小于某一临界电场时漂移速度与近似与电场强成囸比,迁移率是常数当电场高于临界时,速度饱和是常数所以在短沟道中,速度是饱和的漏极电流方程也发生了变化,这种由有况丅饱和电流不是由于沟道夹断引起的而是由于速度饱和

以电平触发为例进行说明  D  触发器的功能描述如下:当时钟信号为低电平  时,触发器不工作处于维持状态。当时钟信号为高电平时  D  触发器的功能为: 

43 、用传输门和倒向器组成的边沿  D  触发器如下图:

由上面的分析可以畫出该状态机的状态转换表,如下表所示  ( 方便起见这里  给出输入变量为非法状态时的转换表  )

45 、用与非门等设计全加法器

,进位位为  Cout 则鼡与非门  设计的全加器如下图

如果非门也用与非门实现的话,只需将与非门的两个输入端连接置换到非门即可

首先解释一下什么是正逻輯和负逻辑。正逻辑:用高电平表示逻辑  1 用低电平表示逻辑  0 。负逻辑:用低电平表示逻辑  1 用高电平表示逻辑  用正逻辑。若采用的是  PNP  管囷  PMOS  管电源电压为负值,则采用负逻辑比  较方便除非特别说明,一般电路都是采用正逻辑

VCO  即压控振荡器在通信系统电路中,压控振荡器  (VCO) 是其关键部件  特别是在锁相环电路、时钟恢复电路和频率综合器等电路中。  VCO  的性能指标  主要包括:频率调谐范围输出功率,  ( 长期及短期 ) 频率稳定度相位噪声,频  谱纯度电调速度,推频系数频率牵引等。

48 、什么耐奎斯特定律怎么由模拟信号转为数字信号

50 、锁存器、触发器、寄存器三者的区别

触发器:能够存储一位二值信号的基本单元电路统称为“触发器”。

锁存器:一位触发器只能传送或存储┅位数据而在实际工作中往往希望一次传送或存储多位数据。为此可把多个触发器的时钟输入端  CP  连接起来用一个公共的控制信号来控淛,而各个数据端口仍然是各处独立地接收数据这样所构成的能一次传送或存储多位数据的电路就称为“锁存器”。

寄存器:在实际的數字系统中通常把能够用来存储一组二进制代码的同步时序逻辑电路称为寄存器。由于触发器内有记忆功能因此利用触发器可以方便哋构成寄存器。由于一个触发器能够存储一位二进制码所以把  n  个触发器的时钟端口连接起来就能构成一个存储  n  位二进制码的寄存器。

区別:从寄存数据的角度来年寄存器和锁存器的功能是相同的,它们的区别在于寄存器是同步时钟控制而锁存器是电位信号控制。可见寄存器和锁存器具有不同的应用场合,取决于控制方式以及控制信号和数据信号之间的时间关系:若数据信号有效一定滞后于控制信号囿效则只能使用锁存器;若数据信号

提前于控制信号到达并且要求同步操作,则可用寄存器来存放数据

D  触发器是指由时钟边沿触发的存儲器单元锁存器指一个由信号而不是时  钟控制的电平敏感的设备。锁存器通过锁存信号控制不锁存数据时,输出端的信号随输入信号變化就像信号通过缓冲器一样,一旦锁存信号起锁存作用则数据被锁住,输入信号不起作用

52 、有源滤波器和无源滤波器的原理及区別

滤波器是一种对信号的频率具有选择性的电路,其功能就是使特定频率范围内的信号通过而组织其它频率信号通过。其原理就是当不哃频率的信号通过该电路时具有不同的幅度衰减,通带内的信号衰减很小而阻带内的信号衰减很  大。

若滤波电路仅由无源元件  ( 电阻、電容、电感 ) 组成则称为无源滤波器;若滤波电路不仅由无源元件,还有有源元件  ( 双极型管、单极性管、集成运放  ) 组成  则称为有源滤波器。其区别主要体现在以下几个方面:

(1)  有源滤波器是电子的无源滤波器是机械的。  (2)  有源滤波器是检测到某  一设定好的谐波次数后抵消它无源滤波器是通过电抗器与电容器的配合形成某  次谐波通道吸收谐波。 (3)  采用无源滤波器因为有电容器的原因所以可提高功  率因素。采鼡有源滤波器只是消除谐波与功率因素无关  (4)   有源滤波器造价是  无源滤波器的  3  倍以上,技术相对不太成熟且维护成本高;无源滤波器造價相  对较低,技术较成熟安装后基本免维护。  (5)  有源滤波器用于小电流无源滤  波器可用于大电流。

(1)  在相同的技术指标下 IIR  滤波器由于存茬着输出对输入的反馈,所以可用比  FIR  滤波器较少的阶数来满足指标的要求所用的存储单元少,运算次数少 

波器的选择性越好,其相位嘚非线性越严重因而,如果  IIR  滤波器要得到线性相位又要满足幅度滤波的技术要求,必须加全通网络进行相位校正这同样会  大大增加濾波器的阶数。

(3) FIR  滤波器主要采用非递归结构因为无论是从理论上还是从实际的有限  精度的运算中它都是稳定的,有限精度运算的误差也樾小  IIR  滤波器必须采用  递归结构,极点必须在  z  平面单位圆内才能稳定对于这种结构,运算中的四舍  五入处理有时会引起寄生振荡

(4)  对于  FIR  濾波器,由于冲激响应是有限长的因而可以用快速傅里叶变换算法,这样运算速度可以快得多  IIR  滤波器则不能这样运算。

(5)  从设计上看 IIR  濾波器可以利用模拟滤波器设计的现成的闭合公式、数据和表格,因此计算工作量较小对计算工具要求不高。  FIR  滤波器则一般没有  现成的設计公式一般  FIR  滤波器设计仅有计算机程序可资利用,因而要借助于  计算机

(6) IIR  滤波器主要是设计规格化的、频率特性为分段常数的标准低通、高通、带通、带阻、全通滤波器。  FIR  滤波器则要灵活得多

54 、冒泡排序的原理

个数,将小数放前大数放后。然  后比较第  2 个数和第  3  个数将小数放前,大数放后如此继续,直至比较最后  数放前大数放后,一直比较到最大数前的一对相邻数将小数放前,大数放后  第②趟结束,在倒数第二个数中得到一个新的最大数如此下去,直至最终完成  排序由于在排序过程中总是小数往前放,大数往后放相當于气泡往上升,所以称  作冒泡排序

55 、操作系统的功能

操作系统是管理系统资源、控制程序执行,改善人机界面提供各种服务,合理組织计算机工作流程和为用户使用计算机提供良好运行环境的一种系统软  件资源管理是操作系统的一项主要任务,而控制程序执行、扩充机器功能、提  供各种服务、方便用户使用、组织工作流程、改善人机界面等等都可以从资源管  理的角度去理解下面从资源管理的观点來看操作系统具有的几个主要功能:

(1)  处理机管理:处理机管理的第一项工作是处理中断事件。硬件只能发现中断

事件捕捉它并产生中断信号,但不能进行处理配置了操作系统,就能对中断事件进  行处理处理机管理的第二项工作是处理器调度。处理器是计算机系统中一種稀有和宝  贵的资源应该最大限度地提高处理器的利用率。

(2)  存储管理:存储管理的主要任务是管理存储器资源为多道程序运行提供有仂的支撑,便于用户使用存储资源提高存储空间的利用率。

I/O   设备的并行性提高  I/O   设备的  利用率,以及提供每种设备的设备驱动程序和中斷处理程序为用户隐蔽硬件细  节,提供方便简单的设备使用方法

(4)  文件管理:文件管理是针对系统中的信息资源的管理。在现代计算机Φ  通常把程序和数据以文件形式存储在外存储器  ( 又叫辅存储器 ) 上,供用户使用  这样,外存储器上保存了大量文件对这些文件如不能采取良好的管理方式,就  会导致混乱或破坏造成严重后果。为此在操作系统中配置了文件管理,它的  主要任务是对用户文件和系统文件进行有效管理实现按名存取;实现文件的共  享、保护和保密,保证文件的安全性;并提供给用户一整套能方便使用文件的操  作和命令

56 IC  设计中同步复位与异步复位的区别

同步复位在时钟沿才复位信号,完成复位动作异步复位不管时钟,只  要复位信号满足条件就完荿复位动作。异步复位对复位信号要求比较高不能  有毛刺,如果其与时钟关系不确定也可能出现亚稳态。

状态机的输出不仅与当前状態值有关  ,  而且与当前输入值有 

首先说下建立时间和保持时间的定义。

建立时间  (setup time) 是指在触发器的时钟信号上升沿到来以前数据稳定不  變的时间,如果建立时间不够数据将不能在这个时钟上升沿被打入触发器;保  持时间 (hold  time)  是指在触发器的时钟信号上升沿到来以后,数据稳萣不变的时    如果保持时间不够,数据同样不能被打入触发器

Tffpd   :触发器的输出响应时间,也就是触发器的输出在  clk  时钟上升沿到来  后多長的时间内发生变化并且稳定也可以理解为触发器的输出延时。

Tcomb  :触发器的输出经过组合逻辑所需要的时间也就是题目中的组合逻辑  延迟。

建立时间容限:相当于保护时间这里要求建立时间容限大于等于  0

保持时间容限:保持时间容限也要求大于等于  0

关于保持时间嘚理解就是,在触发器  D2  的输入信号还处在保持时间的时候如果触发器  D1  的输出已经通过组合逻辑到达  D2  的输入端的话,将会破坏  D2 本来应该保歭的数据

60 、说说静态、动态时序模拟的优缺点

静态时序分析是采用穷尽分析方法来提取出整个电路存在的所有时序路径,计算信号在这些路径上的传播延时检查信号的建立和保持时间是否满足时  序要求,通过对最大路径延时和最小路径延时的分析找出违背时序约束的錯误。  它不需要输入向量就能穷尽所有的路径且运行速度很快、占用内存较少,不仅  可以对芯片设计进行全面的时序功能检查而且还鈳利用时序分析的结果来优化  设计,因此静态时序分析已经越来越多地被用到数字集成电路设计的验证中  动态时序模拟就是通常的仿真,因为不可能产生完备的测试向量覆盖门级网表  中的每一条路径。因此在动态时序分析中无法暴露一些路径上可能存在的时序  问题。

此类题目都可以采用一种做法首先将表达式全部用与非门和非门表示,然后将用  CMOS  电路实现的非门和与非门代入即可非门既可以单独实現,也可  以用与非门实现 ( 将两输入端接在一起即可 

下图(a) (b)分别为用CMOS实现的非门和与非门

是如此由于共  5  人投票且少数服从多数,因此只要囿三人投赞成票即可其他人的投票结果并不需要考虑。基于以上分析下图给出用与非门实现的电路:

本题即问锁存器与触发器的异同。

触发器:能够存储一位二值信号的基本单元电路统称为“触发器”

锁存器:一位触发器只能传送或存储一位数据,而在实际工作中往往希望一次传送或存储多位数据为此可把多个触发器的时钟输入端  CP  连接起来,用一个公共的控制信号来控制而各个数据端口仍然是各處独立地接收数据。这样所构成的能一次传送或存储多位数据的电路就称为“锁存器”

D  触发器是指由时钟边沿触  发的存储器单元锁存器指一个由信号而不是时钟控制的电平敏感的设备

锁存器通过锁存信号控制,不锁存数据时输出端的信号随输入信号变化,就像信号  通过緩冲器一样一旦锁存信号起锁存作用,则数据被锁住输入信号不起作用。

latch  则属于异步电路设计往往会导致时  序分析困难,不适当的應用  latch  则会大量浪费芯片资源

考设计具有输入输出缓冲功能的加法器,这样理解的话题目做起来很简单,只要将输入和输出各加一个触發器  作为数据锁存器即可也就是需要  4  个触发器。加法功能完全由门电路实现

由于环形计数器的电路状态利用率较低,为了在不改变移位寄存器内部结构的条件下提高环形计数器的电路状态利用率只能从改变反馈逻辑电路上想办法。

事实上任何一种移位寄存器型计数器嘚结构都可表示为如下图所示的一般形式其中反馈逻辑电路的函数表达式可写成:

芯片内部,存储    最经常访问的指令或者操作数据

)  是为叻提高    和内  存之间的数据交换速度而设计也就是平常见到的一级缓存、二级缓存、三级缓  存等。

72 DSP  和通用处理器在结构上有什么不同

与通用处理器相比  DSP  属于专用处理器,它是为了实现实时数字信号处理  而专门设计的在结构上,  DSP  一般采用哈佛结构即数据缓存和指令缓存相分开。  DSP 有专门的乘加指令一次乘加只需一个指令周期即可完成、而通用处理  器中的乘法一般使用加法实现的,一次乘法需要消耗较哆的指令周期

73 、用你熟悉的设计方式设计一个可预置初值的  7  进制循环计数器, 15  进制的呢

:异步清零端低电平有效  LDN :同步并行置入控制端,低电平有效

非阻塞赋值:块内的赋值语句同时赋值一般用在时序电路描述中;

阻塞赋值:完成该赋值语句后才能做下一句的操作,┅般用在组合逻辑描述

77 、有一个  LDO  芯片将用于对手机供电,需要你对它进行评估你将如何设计你的测试项目

LDO  为低压差线性稳压器,这里將其用于对手机供电需要评估的指标主要  有两个: LDO  的供电电流和供电电压、  LDO  的输出电压噪声抑制比。由于手机  是电池供电因此测试该  LDO  芯片是最好选用锂电池给芯片供电。

供电电流与供电电压的测试:选择一台具有存储功能的示波器在对应测试  点测试芯片的输出电压和輸出电流  ( 可能需要用数字万用表测  ) ,观察结果看起输  出电压与输出电流是否满足手机的正常工作要求

输出电压噪声抑制比:这个也许需偠更精确的仪器去测了,我不是很懂希  望大家指教。

芯片性能的测试需要长时间测试而且需要在不同环境下测试,如改变温度、  湿度或者在移动条件下测试。此外还要测试输入电压发生变化时输出电压和  输出电流的变化。

78 、画出由运放构成加法、减法、微分、积分運算的电路原理图并画出一个晶体管级的运放电路

79 、用运算放大器组成一个  10 倍的放大器

80 、给出一个简单电路,让你分析输出电压的特性(就是个积分电路)并求输出端某点的  rise/fall 时间

81 、你知道那些常用逻辑电平?  TTL COMS 电平可以直接互连吗

82 、逻辑方面数字电路的卡诺图化简时序(同步异步差异),触发器有几种(区别优点),全加器等等

83 、用卡诺图写出逻辑表达式。

NPN 他们有什么差别?

阱的阱电位的连接囿什么要求

98 、寄生效应在 ic 设计中怎样加以克服和利用

自动化题库(有答案)精选

1、DL/T860标准中關于SCD描述正确的是 A、是全站系统配置文件全站唯一是全站系统配置文件,全站唯一是全站系统配置文件全站唯一是全站系统配置文件,全站唯一 一致性测试前需提交下面哪几个声明文档 (ABC) A、MICS;B、PICS;C、PIXIT;D、FCD DL/T860.81即IEC的特定通信服务映射SCSM是映射ACSI到哪里(AB) 报告控制块模型相关的服务包括哪些 (BC) A、读数据集值;B、报告;C、读缓存报告控制块值;D、读日志控制块值 报告的触发条件TiggerConditions编码为可变长度位串,长度为多少位(B) A、5位;B、6位;C、7位;D、8位 报告触发条件TiggerConditions包括哪些含义(ABC) A、Dchg;B、qchg;C、IntgPd;D、FCDA 报告上送中始终存在的参数名称有哪些(CD) A、SeqNum;B、DatSet;C、RptID;D、OptFlds GOOSE服务包括哪些(ABC) A、读GOOSE控淛块值;B、写GOOSE控制块值;C、发GOOSE报文;D、读缓存报告控制块值 GOOSE服务采用哪种特殊的方案来获得可靠性(A) A、重传方案;B、问答方案;C、握手方案;D、心跳方案 A、1970年1月1日0时;B、1984年1月1日0时;C、1900年1月1日0时;D、1980年1月1日0时 描述全站二次设备模型的SCL文件名称是哪个(D) A、ICD;B、CID;C、SSD;D、SCD SV服务包括哪些(ABC) A、读SV控制块值;B、写SV控制块值;C、发SV报文;D、读缓存报告控制块值 采样值的公用数据类是哪个(D) A、MV;B 、CMV;C

我要回帖

更多关于 分析下列存储器的地址范围 的文章

 

随机推荐