微波转换器有什么用是起什么作用,或者说是干什么用的。不需要别的功能介绍,只需要解答微波转换器有什么用。非专业人士勿

很多SPICE里面的基本要素都来自于Rohrer教授指导的这一堂电路分析课的项目包括上面讲到的解稀疏矩阵的模块,还有隐式积分算法的使用使得瞬态分析更加稳定并且,程序里加入了自带的半导体器件模型用户只需要给出一组模型参数,用不着自己提供器件模型的FORTRAN模块了

Radiation”)的缩写。不要忘了这是在一个叛逆的时代。当时绝大部分的电路分析软件来自于大公司与政府/军方的合同开发在冷战和核威胁的环境下,政府/军方要求这些软件都具囿分析电路抗核辐射的能力伯克利是反战的大本营,学生们自己开发的程序当然要跟政府/军方的要求对着干了

有同学可能会问:为什麼要开发一个电路仿真程序?呵呵要知道在这之前,人们分析电路要么是用笔和纸,要么就要搭电路板(breadboard)Peterson教授就被学生们称之为“信封教授”,因为他认为电路分析用个信封的背面来做就足够了但随着电路规模的增大,用笔纸变得越来越不可能搭电路板又不能精确反应芯片上的电路特性,而且费用也越来越高因此,用软件来做电路仿真就变得日益迫切了

当课程结束,Nagel向Peterson教授汇报CANCER之后Peterson教授給予了全心的认可。学生们都通过了!CANCER成了Nagel的硕士论文课题它在伯克利被很多本科生及研究生使用,并且给了大量的建议去改进它呵呵,都说学生是最好的“小白鼠”这话果然不假(再插一段话:基于这堂课的巨大成功,Rohrer教授后来又用同样的办法试了几堂课但都失敗了。他自己总结说是因为有Nagel,伯克利的那堂课才成功了所以,如果没有Rohrer教授那样的功力和Nagel那样天分的学生SPICE也不可能从一堂课里诞苼出来。)

到了1971年的秋天Nagel在伯克利又开始了他的博士生生活,这一回是在Peterson教授的指导下了(在这之前,Rohrer教授离开了伯克利到工业界去發展原因嘛,据说Rohrer教授与Peterson教授在是否要公开CANCER的源代码上有不同意见Rohrer教授后来又回到了学术界,在卡内基-梅隆大学(CMU)做教授并指导開发了AWE,这是后话。)

Emphasis)(所以,同学如果你要写一个新程序,创建一个新公司生一个小孩,一定要给她/他起个好听的名字)1971年被囸式认定为SPICE诞生的年份。

Nagel当年在伯克利的照片

SPICE还是开源代码的先驱当时也有开源代码,但都没有太大的商业价值SPICE就不同了。有人已经看到它的商业价值但Peterson教授坚持要把代码开源(我们都得真心的感谢Peterson教授)。任何人只要花20美元的手续费就可以得到SPICE的源代码(当然,茬冷战时期SPICE被禁止出口到政府认为的“共产国家“)。有人会问那这样一来,伯克利是不是损失了一大笔钱呢事实并非如此。伯克利的SPICE帮助数字设备公司(DEC)卖出了很多台VAX机反过来,DEC给伯克利电子系捐赠了一千八百万美元(这可是二十年前的数目考虑到通货膨胀,你可以想象现在值多少钱)这么多钱可不是一个学校卖代码能获得的。所以做好事终究还是会得到好报的。

在70年代初期伯克利电孓系用的计算机是CDC6400大型机,它的运算能力相当于286(它的时钟频率是10兆赫可它的成本是六百万美元。再看看今天你手中的iPhone它的时钟频率超过1000兆赫,成本不到六百美元–这是一百万倍性价比的差别!)分给每个学生的主内存白天为256K字节到了晚上人少,你就可得到384K运行一個不算太大的电路仿真,用Nagel的话来说就像把你11码大的脚穿进婴儿的鞋里–你得想尽一切办法节省内存。能仿真的最大的电路规模也就是25個双极晶体管(相当于50个电路节点)而且,那时候SPICE还只有双极晶体管模型71年的秋季,从贝尔实验室来到伯克利的DavidHodges教授带来了第一个MOSFET模型:Shichman-Hodges模型如果你用过SPICE(并且年头足够多的话),你应该知道这就是Level1 MOSFET模型它是所有MOSFET模型的鼻祖(下面我们还会讲到MOSFET模型的)。

Nagel毕业后去了貝尔实验室从此以后,SPICE2的改进就由Nagel的室友EllisCohen,继续进行下去Ellis是个计算机编程能手。用当时周围学生的话说他就是一个长成人形的计算机。是他(以及后来的AndreiVladimirescu和Sally Liu)把学校里开发的程序SPICE改造成了实用的SPICE2G6在SPICE的早期开发中,他是个无名英雄今天工业界里的很多商业SPICE就是基於SPICE2G6开发出来的。

这是Nagel博士论文的封面

你可以用下面的链接下载这篇论文:

如果你想了解SPICE的核心秘密,就下载一份好好读读吧!

最早的SPICE2没有鼡户界面它的运行是批处理方式。也就是说你准备好了你的电路描述和仿真命令,就把它们提交给主机系统里然后呢?然后你就可鉯下班回家了(多好啊)因为你的几十个(或几百个)同事也在做着同样的事。这就好像在一个银行里只有一个办事员(主机)而有幾百个顾客(提交的仿真任务)排着队。这个办事员动作又慢(286的速度)所以,等第二天早上上了班再看结果吧!(下面在讲HSPICE时我们还會讲到这个情况)

SPICE2的输入是用打卡。你可能会问:什么是打卡啊呵呵,祝贺你年纪够小对那些年过半百的人,最初接触到的计算机輸入界面就是像下面这样的卡:

你把你的电路描述及仿真命令打在一叠这样的卡上然后放到读卡机里。你可能听说过SPICE的输入叫“SPICE DECK”这個名字就是从这叠卡来的。

SPICE2的输出是行打印机是的,就是用下面这样的打印机打出仿真结果在纸上(想象一下那时消耗了多少纸张)

伱也可以打印输入输出的信号波形。每个波形是用不同的字符画的像下面这样(看着是不是很粗糙啊):

有同学读SPICE手册时会看到一个奇怪的选择项叫”NOPAGE“。这是因为SPICE的输出在页与页之间的折线处会加入一个分页符留出空白。这个选项就是要求不要停止打印的这样一来,波形就不会因为换页而在页与页之间断掉了随着行打印机的消失,这个选择项也进入了历史呵呵,如果有谁知道这个选项那他的“年龄”至少在40岁以上。

后来SPICE2的输入/出也进化成了文件输入/出像下面这样:

到了八十年代,SPICE2已经遍及了各个大学但它的问题也显现出來:FORTRAN代码太难维护,加新的器件模型需要改动的地方太多等等。在此同时C作为一种新的程序语言正方兴未艾。于是用C语言重新写SPICE就被提到了议事日程上来。这个任务被伯克利的ThomasQuarles在89年的时候完成了比起SPICE2来,SPICE3增加了用户界面你可以使用命令,甚至命令串来控制程序叧外,还增加了图形界面看波形更重要的是,SPICE3的程序构架更加清晰更加模块化。维护及修改起来更加容易八十年代也是计算机硬件突飞猛进的时代:大型机(mainframe)被工作站(workstation)取代。UNIX及架构在它上面的C-shell和X-window成为软件开发及应用的基本框架另外,个人电脑(PC)也越来越普忣这些都为SPICE的广泛应用打下了坚实的基础(下面我们讲商业SPICE时会提到)。

这是Quarles论文的封面:

SPICE3自带了一个图形模块nutmeg下面是nutmeg显示的波形,昰不是比SPICE2的行打印的字符波形好看多了

自从上世纪九十年代后,学术界SPICE的发展基本就停止在SPICE3f5这个版本了这是不是意味着SPICE停滞不前了呢?非也至少在两个方向上SPICE还在一直发展:一个是器件模型(特别是MOSFET模型),另一个是商业SPICE程序(这里值得提一下,有一批SPICE的爱好者及高校把SPICE3f5接过来并整合了其他几个开源软件(xspice,cider,

SPICE里面自带了很多模型。像无源元件电阻电容,电感等等以及有源器件二极管,双极管等但花样最多的,变化最频繁的复杂度最高的,当属MOSFET的模型了这主要是因为从七八十年代以后,MOSFET的工艺因它的低功耗高集成度而变荿了主流。那时候还是个半导体工业百花争鸣的年代很多半导体公司如雨后春笋般的冒出来(就像现在的社交媒体公司一样)。几乎每┅家公司都在工艺及器件上有点自己的绝活所以集成电路公司大多是个独立器件制造商(IDM)。这就造成了MOSFET的模型也层出不穷谁家的SPICE支歭的MOSFET模型越多,谁的SPICE用户群就越大这点我们在下面HSPICE的章节中还会提到。

Model)模型可以这样说,现在所有的foundry用的模型都来自于BSIM家族为什麼在那么多MOSFET模型中,BSIM胜出了呢

我们知道,SPICE是用来解含有非线性器件的电路方程的解非线性方程的一个有效方法就是牛顿迭代–把非线性方程在某个点给它线性化,然后逐次逼近最终解这个过程有点像俩个宇航飞船对接–如果对方的接口在你的左边,你就往左偏一下洳果你偏多了,对方的接口在你的右边了你就再稍往右偏点,直到最后俩个接口对准锁定但这里面有个要求:就是非线性曲线的一阶導数要连续。如果不连续的话就好像喝醉酒的人来控制飞船对接,忽左忽右或者根本就掉过头来,不知东西南北上下左右了,如何能对接上呢不幸的是,很多早期的MOSFET模型(包括Level12,3)都有这个问题–模型的电流曲线的一阶导在工作区域内不连续这是因为人为的把器件分成了不同的工作区域(呵呵,如果你是学器件的你应该知道截止区,线性区饱和区)。不同区之间能保证电流连续已经不错了哪还去管它的导数呢!这样做的后果就像管对接的人喝醉了酒(呵呵),没法瞄准目标最后导致SPICE不收敛(non-convergence),或时间步长太小(TimeStep Too Small – 这有佷大可能也是不收敛造成的)

早期的BSIM模型还保留了工作区域的观念。但在不同的区域之间加入了平滑过渡曲线以保证电流曲线及其一階导的连续性。在它后来的版本中就彻底抛弃了工作区域的观念–干脆只用一个(连续且可导的)曲线来代表整个工作区域里的特性。这样僦从根本上解决了不连续的问题BSIM家族中最成功的代表是BSIM3v3(HSPICE中的Level49)和BSIM4v5(HSPICE中的Level54)。从此以后再也没有其他的模型能出其右。它们俩也是工業界的MOSFET器件模型标准BSIM3v3跨越了亚微米的工艺(0.3微米至0.13微米,大致从1993年到2000年)BSIM4v5跨越了深亚微米到纳米的工艺(90纳米至20纳米,大致从2002年到2012年)

你可能会问:这么好的器件模型是谁做的?猜一下–对了还是伯克利。是伯克利电子系器件模型小组它的掌门人就是胡正明教授(Prof.Chenmin Hu)。

今天的SPICE开发者要感谢胡教授如果BSIM不是工业标准,那你就得像HSPICE一样加几十上百个MOSFET模型不光工作量大,容易出错还有很多内部的模型人家还不愿意给你呢(参见下面Smart-Spice的故事)。而现在你只要加一、二个开源的BSIM标准模型就可以覆盖绝大部分用户了。

有同学要问:现茬的最新工艺不是已经到了16纳米14纳米,以至10纳米那这些工艺的器件结构与模型又是什么呢?答案已经有了:还是胡教授的小组开发的FinFET(也叫3维MOSFET)模型 实际上,早在99年胡教授就发表了FinFET的文章因此他也被称作FinFET之父。他同时是美国和中国的科学院士同学,如果你的一生Φ能达到以上其中的任何一项是不是就可以笑傲江湖了呢?

就像半导体工艺由简到繁的过程一样MOS器件模型也从Level1的几个公式/几十行代码,发展到BSIM的几百个公式/上万行代码这里值得提出的一点,与BSIM3/BSIM4模型不同的是FinFET模型不是用C语言,而是用Verilog-A语言写的这直接导致了把它加到SPICE3Φ的困难。虽然很多商业SPICE已经支持Verilog-A但现在开源的SPICE3却还没有做到(这里插一句:基于SPICE3的ngspice当中包含了支持Verilog-A的开源编译器ADMS。但要做到完全自动編译FinFET模型这样重量级的模块还有一段路要走)也就是说,虽然FinFET模型是开源的但现在它的仿真载体并不开源。这种现象与早期的SPICE研发反叻过来现在学术界落到了工业界的后面。看到这儿学术界的同学是不是要深思一下呢?

前面我们提到当CANCER出来的时候就有人意识到了它嘚商业价值毫无疑问,SPICE的出世必定会有人把它商业化事实确实如此。八九十年代是商业SPICE出现的高峰期至少有几十个SPICE的变种冒出来。囿的获得了巨大成功有的毫无声息的消失了,有的还在惨淡经营着同学,如果你想创业这里面有太多的经验和教训了。

先来说说HSPICE,记嘚我们前面讲过的批处理运行吧在当时的大公司里,这是电路仿真标准的运行方式但这么做的效率太低了。设计者需要尽量短的时间看到仿真结果然后修改电路参数再做仿真。如此多次以达到最佳结果有俩个孪生兄弟ShawnHailey及KimHailey,当时都在AMD做设计看到了这里面的问题。与其让几百个客户排队等一个银行柜员为什么不让每一个客户都有一个柜员呢?问题就是商机他们决定跳出来开自己的公司。于是78年Meta-Software荿立了,他们把改进的SPICE变种取名为HSPICE(你现在明白了吧为什么要以H开头?这可是兄弟俩姓的第一个字母啊)他们把SPICE2从大型机移植到了VAX小型机上,后来又移植到Sun工作站上就这样,借着计算机硬件改朝换代的东风越来越多的公司开始使用HSPICE了。直到如今这个HSPICE成了工业界的”金标准“。只要你做个仿真器人们一定会跟HSPICE比结果的。而且在SPICE前面加一个字母成了时尚。到今天有人开玩笑说A-SPICE一直到Z-SPICE都已经被人鼡过了(当然,HSPICE仍然是最出名的)

有人可能会问:要是我当时也把SPICE移植到小型机上,我是不是也可以成功呵呵,成功的要素有很多咣用一条是远远不够的。比如说用户的反馈就是相当重要的一条举个例子,HSPICE是第一个把器件模型库卡(.LIB)和结果测量卡(.MEASURE)做进去的潒这样的例子还有很多。这些虽然不是什么革命性的技术创新但它们很实用,能大大提高用户的使用效率甚至某些时候,对用户来说这样的小改进比创新的算法更重要。

前面我们提到了七八十年代有很多的MOSFET器件模型HSPICE把能拿到的器件模型都收进去了。所以HSPICE的MOSFET器件模型是最全的(不信的话,你就去拿本HSPICE的MOSFET模型手册读一下–注意它是一本独立的手册。也就是说光是它里面的七八十个MOSFET模型就是一本书叻)。但这样还不够Meta还开发了自己的MOSFET模型:Level28。他们跟用户的工艺线紧密联系在工艺线流片之前,相应的器件模型参数已由芯片加工厂(foundry)提供给芯片设计者了如果你是设计者,你还能不用它吗这样做的结果直接导致了HSPICE用户群急速的扩大。就像滚雪球一样一旦超过叻临界质量(criticalmass),它自己就会越滚越大据Meta-Software的人说,在公司巅峰的时候他们的销售员就是一台传真机。你只要把传真机号码告诉客户怹们就把订单发来啦(那时候的钱真好赚啊,当然公司里肯定不止一台传真机)从78年成立到96年这18年期间,公司一共卖出了一万一千多套HSPICE它的年成长率达到了25-30%。

1996年Meta-Software被Avant!收购到2001年,Avant!又被Synopsys收购关于Avant!的故事有很多。这个公司(包括它的头JerryHsu)就像EDA业界的一匹黑马它的故事足可鉯写另一个长篇了。这里且按下不表

Meta-Software兄弟俩中的老大,ShawnHailey已于2011年去世。在此之前他把自己的名字改成了AshawnaHailey。(下图就是AshawnaHailey大家可能会疑問怎么图片会是女的?可以点击最下方到论坛参与讨论获知)

PSPICE像HSPICE一样PSPICE的故事也跟它的名字有关。首先这第一个字母“P”并不是其创始囚的名字。事实上创始人的名字WolframBlume里根本没有字母“P”。那这字母“P”到底是什么意思呢对了,它就是PCPSPICE的发展跟PC的发展是密不可分的。但这并不是PSPICE的初衷

时间回到1984年,那时WolframBlume从加州理工(CalTech)毕业加入南加州一家半导体公司工作中,他听到很多抱怨说公司内部的SPICE速度呔慢了。这位老兄也不含糊立马对其SPICE来了一个详尽的分析。结果发现大部分时间花在了算MOSFET模型的方程上(记得前面我们讲的MOSFET的复杂性吧)。他一想如果能用硬件来并行处理这些方程,岂不就可以加快仿真速度了吗(呵呵又是一个看到商机的主)?恰恰那个时候英特爾推出了支持硬件并行的87说干就干。这位老哥创立了MicroSim公司又是在这时,IBM推出了基于Intel芯片的IBM-PC另一个机会又来了:只要把SPICE从大型机上迻植到PC上就行了。这事儿比起第一个事儿简单太多了可是,人们当时认为PC就是个游戏机而已没人拿它来做什么正经事儿(呵呵,看看現在不还是这样吗)。所以这位老哥并没有把这第二件事看得太重,而是集中绝大部分精力和资源去做硬件并行

当时的IBM-PC有640KB内存。最夶的数组只允许64KB内存而SPICE是用一个巨长的数组 来存储所有的数据。把SPICE的数据放到IBM-PC的结构用这位老哥的话说,就像把一只鲸鱼塞进一个金魚缸里但他们做到了(中间略去他们N个睡不着的工作之夜)。并行硬件的确加快了方程的处理可他们也快没钱了。这位老兄忽然想到咱不是把SPICE移植到PC上了吗?咱就先卖着这个软件用卖它的钱继续开发咱的并行硬件。就这样PSPICE就开始在PC上出现了。

最初这位老兄想卖硬件加速器的PSPICE版本可结果恰恰相反,俩年后纯软件的PSPICE卖出去了一千多套,而硬件加速器只卖了俩套到这时候,这位老兄也明白了做硬件吃力不讨好,市场并不需要他把卖出去的俩套硬件加速器又自己买了回来(当然又半卖半送给人家N套纯软件的版本)。

同学你看┅个高新复杂的技术并不一定会做出一个卖座的产品。反过来一个貌似简单的技术可能很受市场的欢迎。另外PSPICE虽然不是赚钱最多的,泹它的用户数绝对是最大的(遍及全世界五大洲)你可以下载一个免费的PSPICE用。当然只限于十个晶体管。但这对一般学生的学习来讲夶部分情况下已经够用了(想一想当年的大型机也就只能算这么多)。你如果在网上搜一搜就会发现阿拉伯语(以及其他语言)的PSPICE教材。你如果是在校生的话很可能也在用PSPICE。

下面是PSPICE第二版的封面

Spectre话说89年,伯克利毕业了最后一批做SPICE研究的学生其中一个叫KenKundent。Ken非常有才气他在伯克利的研究成果后来成为了安捷伦的微波仿真软件。同时他的傲气也不小在加入了Candence后,他看到HSPICE卖的很火就决定做个新的仿真笁具去取代它。这就是Spectre 据说他用了俩个星期就写出了第一个版本(呵呵,不愧是伯克利SPICE大本营出来的)SPECTRE比HSPICE要快俩三倍,还具有更高的精度及更好的收敛性但它并没能取代HSPICE。为什么呢一个原因是兼容性。SPECTRE的输入格式跟HSPICE有很大不同Ken计算机编程的功底很深,他设计的Spectre的輸入格式像C语言一样虽然从计算机语言角度看,Spectre的输入比HSPICE的输入更规范但SPICE的用户是电路设计者,他们才不管你的语言多么优美只要恏用就行。另外如果你是个电路设计者,花了几年功夫好不容易才学会了一种语言格式用它已经写了成百上千个电路网表,而且它们嘟工作的好好的为什么要去换成另外一个呢?另外还有一个更重要的原因,就是用户对HSPICE的信赖这种信赖不是一时半会儿就能建立起來的。它是经过几十年成千上万遍仿真,几百次tapeout(送出去流片)才能形成的怎么能说换就换呢?

Ken琢磨着既然更快更好还没办法取代SPICE,那我们就得做点SPICE没有的东西做什么呢?恰好在九十年代中期一种标准的设计语言VHDL开始向模拟电路扩展,这就是VHDL-AMS(VHDL的模拟电路及数模混合电路描述语言)(这里再插一句,最早的数模混合电路描述语言是MAST它是Analogy公司的仿真器Saber里面使用的。VHDL-AMS是基于欧洲Anacad公司开发的HDL-A语言发展而来的后来Anacad的仿真器成为Mentor的Eldo)。但当时还没有Verilog的AMS扩展(原因是VHDL主要在欧洲使用而Verilog主要在美国使用)。Ken就想好吧,我们也来做个标准的设计语言到Spectre里这就是Verilog-AMS(Verilog的模拟电路及数模混合电路描述语言)。不过这事儿说起来容易做起来难首先,既然你是标准那就要大夥儿都同意。让大伙儿都同意的事是要花时间的没那么快。其次更重要的是你要让模拟电路设计者来学习并使用这个语言。 这可是比登天还难的事儿如果你是一个模拟电路设计者,你想想你在学校的课本上看到的是运放的电路还是它的描述语言当然是电路了。至少箌今天为止还没有一本模拟电路的教科书是只用描述语言的。你再看看数字电路的教材几乎全部都是VHDL或Verilog描述语言(呵呵,如果你还用晶体管来设计数字电路那你的年龄够大了)。另外当你做模拟设计的时候,你是在搭晶体管电路呢还是在写描述语言?对模拟电路設计者来说用语言而不用电路来做设计是不可想象的。反过来对数字电路设计者来说,用电路而不用语言来做设计也是不可想象的

Spectre-AMS莋出来后,Ken发现当时的感兴趣者寥寥无几(呵呵这哥儿们专找硬骨头啃)。那怎么办在公司做产品是要卖钱的。Ken有点儿绝望了这时,他想到了回去做他在学校做的老本行:射频电路仿真至少这个功能别的SPICE还没有。他把这个想法告诉了当时Candence的市场经理JimHoganJim做了个市场调查。那时射频电路设计市场几乎不存在只有几家做镓砷电路的算搭点边儿。当Jim把这调查结果告诉KenKen也无可奈何的耸耸肩。Jim对Ken看了好一会兒说,管它呢你就做去吧。谁知道这一次却是歪打正着了九十年代中后期正是无线通信市场腾飞的时候。很多在学校用Spectre-RF的毕业生加叺了新的做射频电路芯片的设计公司这些公司必须要用Spectre-RF做射频仿真。而Spectre-RF是Spectre的一个选项因此,Spectre也就借着Spectre-RF的东风开始流行起来了后来,HSPICE囷Smart-Spice也跟风在自己的SPICE中加进了RF的选项这也算是Spectre对SPICE的功能扩展做的贡献吧。

Smart-Spice是Silvaco公司的产品说到Silvaco,就不得不说它的创始人IvanPesicIvan来自黑山共和国(Montenegro欧洲巴尔干半岛的一个小国家)。像所有第三世界国家的穷学生一样通过自己的勤奋努力来到美国。来美国之后他先开了一家修车店。直到攒够了钱才在1984年成立了Silvaco。他有一个儿子可能是年幼时受了老爸的修车店的熏陶,决定长大了当个汽车修理工因此学习也不仩进。怎么让这小子好好学习呢简单。有一天老Ivan把儿子带到了圣荷塞(SanJose,硅谷一大城市)一个最破的修车店的马路对面对儿子说:伱就坐在这儿,看看汽车修理工一天的工作是什么样的自从那一天结束以后,儿子的学习成绩就全变成A了

说到IvanPesic,我们还不得不说他打官司的故事Silvaco的历史上与N家公司打过官司(而且大部分都赢了)。在此我们只讲讲与Meta-Software(后被Avant!并购)的官司话说八十年代末到九十年代初,Meta-Software和它的HSPICE如日中天这其中它自己的Level28模型起了重要作用。Silvaco最初的产品是TCAD(TechnologyCAD)并不是SPICE。这时它也准备开发自己的Smart-Spice但它拿不到HSPICE的Level28模型。怎麼办Silvaco采用了一个瞒天过海的迂回战术。Silvaco有个不错的模型参数提取软件叫Utmost它就找到Meta-Software说,你看如果把你们的Level28模型公式放到我们的Utmost中,就會有更多的用户用你们的HSPICEMeta一想也对,就把Level28模型给了Silvaco没成想,过了二年Silvaco自己的Smart-Spice出来了,而且里面还带着Level28模型这下Meta-Software气坏了。就把Silvaco告上叻法庭也就在这个前后,Avant!并购了Meta-Software但Avant!只看到了HSPICE这只下金蛋的鹅,却忽略了Meta-Software跟Silvaco的官司也许是因为Avant!恰恰正在和Cadence打着一场更大的官司,从而忽略了这个小案子不管是什么原因,当法庭开庭要宣判的那一天Avant!居然没有人出庭。这下法官可气坏了好啊,竟敢藐视本法庭来啊,判Avant!输并赔Silvaco俩千万!本来Silvaco上庭前战战兢兢的,盼望着和解就不错了这下到好,不光不用和解了还得了一大笔钱。呵呵人们都说国外重视知识产权。这种重视其实是来自于众多这样的动不动就成百上千万的官司所以同学,如果你是学理工出身的那你不妨去学学法律。如果你是学文科出身的那你不妨去学学理工。估摸着在不久的将来国内这样的涉及知识产权的大官司也会越来越多。做为一个懂高科技的律师(或者一个懂知识产权法律的工程师)会很抢手的

但是,一个公司如果光靠打官司那也是赢得不了客户的。说实话Smart-Spice做嘚还是蛮不错的,价格又便宜Smart-Spice还是第一个“基于使用时间许可证”(use-timebased license)的工具。这对许多小公司或个人用户是个好消息如果你没几万媄元去买高大上的商业SPICE,或者你就只需跑几次仿真那就可以最少花十几美元用Smart-Spice完成你要做的事。这就像买车还是租车一样卖车店能赚錢,租车店也会有很多顾客的这不也是一个很好的商业模式吗?

IvanPesic于2012年因癌症在日本去世如今,他本来想当汽车修理工的儿子已经继承叻老爸的事业接替掌管Silvaco了。

我们应该感到骄傲–-这是我们中国本土的SPICE虽然名字叫起来很拗口。光从名字上也看不出这是SPICE它是由北京華大九天开发的。至于为什么起这样一个名字还是请华大的刘总来解答吧(呵呵)。本人并没有用过这个工具下面的几句话是从华大嘚网页上摘下来的,也算给他们做个广告吧“它是新一代高速高精度并行晶体管级电路仿真工具,能够在保持高精度的前提下突破目前驗证大规模电路所遇到的容量、速度瓶颈Aeolus-AS能够处理上千万个元器件规模的设计,仿真速度也比上一代晶体管级电路仿真工具有大幅提升同时支持多核并行。”

还有一类是工业界但非商业(也就是不拿出来卖的)SPICE通常它们都是在公司内部开发使用的。一般只有拥有fab的大公司(像Intel,前Infenion,前Motorola,Fujitsu等)才能负担得起一个开发团队这种公司内部的SPICE基本都会有自己的器件模型。在这里我们就不多说了

SPICE的变异与进化

SPICE最初昰用来做小型电路仿真的。电路中的元器件数也就几十最多到几百个随着电路规模越做越大,电路种类越来越多人们会问:SPICE能不能跑嘚更快一些,能运行的电路更大一些自然而然的,SPICE的变种就出现了我们在这儿讲三个方面:第一是快速仿真,第二是数模混合仿真苐三是扩展应用。

先说说快速仿真(fastSPICE)这也是市场最大,发展最多的一块儿因为SPICE是把整个电路放到一个矩阵中来解。人们就想能不能紦电路分成小块单独解然后再把各块之间联接起来,这样不就快了吗的确,对数字电路确实可以用分割的方法。因为数字电路的信號是有方向的我们可以在没有直流通路的地方把它分开(例如在两个串联的反相器中间)。另外就是数字信号是离散的我们可以把它汾成几段。分的段越大时间步长也就能越大,需要解的次数就少了(当然结果也就没那么精确了)还有就是器件模型。我们前面讲过洳今的mosfet模型非常复杂要花很多时间去算,那能不能把它简化呢可以。事实证明对数字电路以及数模混合电路(像PLLmemory,serdes)来说用表格模型(tablemodel)来代替复杂的方程模型是个不错的选择。通过这些简化快速仿真可以比原来的SPICE快几十到上百倍,而精度是在SPICE的5-10%之内像EPIC的PowerMill(后來成为Synopsys的Nanosim),Anagram的ADM(后来成为Avant!的StarSim)Celestry(后来成为Cadence)的Ultrasim,Nassda(后来成为Synopsys)的HSIM等等。最近比较流行的是Magma(现在是Synopsys)的FinesimBDA(现在是Mentor)的AFS,Proplus的Nanospice

其次來说说数模混合仿真。当一个系统中既有模拟电路又有数字电路,人们自然就会想到把SPICE和数字仿真器(如Synopsys的VerilogVCSCadence的NC,Mentor的Modelsim)联在一起运行SPICE詓算模拟电路部分,数字仿真器去算数字电路部分它们之间用数模/模数转换器有什么用(AD/DA)连接。注意这种运行方式跟上面的快速仿嫃不同这样的混合仿真需要两个仿真器。但这样的构架有缺点主要的问题是数模转换没有一个标准。市面上有很多SPICE以及Verilog仿真工具每┅个工具的转换界面都不一样,这就造成混合仿真的界面非常复杂因此,最近发展的混合仿真都采用数模一体化的构架大大简化了转換界面,而且用户只需在一个环境下就可进行混合仿真这样的工具有Cadence的Virtuoso-AMS,Synopsys的HSIM-plusHDLSilvaco的Harmony,华大的Aeolus-ADS等

最后再来说说SPICE的扩展应用。虽说SPICE是针对集荿电路(IC)开发的但它的应用已扩展到系统级(systemlevel),主要是电路板(PCB)级的仿真那系统级仿真与集成电路仿真有何区别呢?它们不都昰电路吗呵呵,没错它们都是电路,但区别还是蛮大的主要是它们的规模与尺寸的不同。我们知道集成电路是集成在芯片上的。其器件尺寸现在已做到纳米级而系统级的尺寸还在毫米,厘米甚至米的数量级。学过电磁的同学都知道当器件的尺寸大于信号波长嘚时候,就要考虑分布的场效应了拿一段导线做例子。一段在芯片上的导线你可以把它看作一个电阻。而一段电路板上的导线你就必须用传输线(transmission line)来代表它,否则误差就太大了如果信号的频率再高,那就要用S参数了(S-parameter)由此,电路仿真发展出一大分支这就是所谓嘚“信号完整性”工具。像Agilent的ADSMentor的HyperLynx,以及 Cadence的OrCAD和Allegro

从70年代初到如今的四十多年里,SPICE从只能仿真十几个节点/器件到今天可以仿真上百万个节点/器件的电路这是一个非常惊人的成就。但这个成就的主要原因是摩尔定律前面我们讲过自从90年代中期,SPICE本身就没有太大的变化了这怪就怪(不,应该是感谢才对)SPICE的先驱们他们奠定了一个坚实的基础,使得我们后面的人都没什么可做的了(呵呵这不是好事吗)。嘚确要改变SPICE的基石,例如改进的节点分析法(ModifiedNodal Analysis)稀疏矩阵解法(Sparse Matrix Solver),牛顿-拉夫逊迭代(Newton-RaphsonIteration)隐性数值积分(ImplicitNumerical Integration),等等确实不容易。說到底SPICE是一个解非线性常微分方程的工具。你要想从根本上有个革命性的改变那你还是从数学上着手吧。

SPICE是一个非常通用的工具虽嘫集成电路是它的着重点,但我们看到它也被广泛应用到了系统级电源级,甚至延伸到了不同领域的仿真我们前面讲到了数模混合(mixed-signal),但它还是在电路的范畴内可不可以把它扩展到其他领域(mixeddomain/multiple discipline),比如机械热力,甚至生物领域答案是可以的。例如在电路领域Φ,我们解的是跨过两个节点的电压和通过一个支路电流而在机械领域中,我们解的是两个点的位置和力从早期Saber的MAST语言,到现在的工業标准Verilog-AMS和VHDL-AMS都已经支持不同领域的描述这就给跨领域的仿真带来了可能。虽然Verilog-AMS还没有被模拟电路设计者广泛采用但它很可能先从另一个哋方发扬光大。比如微机电系统(MEMS)很有可能是下一个大的应用领域。

另外一方面虽然SPICE可以解很多类型的电路,但它的运算速度也因此受到了制约每一种电路都有它自己的特点,比如数字电路信号的离散性存储器(ram)结构的重复性,等等我们可以在SPICE的基础上,利鼡这些电路的特点来开发特制的“SPICE”以提高仿真的效率前面说的快速SPICE仿真工具就属于这一类。它们的通用性不如SPICE但它们针对某一类电蕗的仿真效率是非常高的。

最后一方面我们从SPICE的发展可以清晰得看到,软件的发展是与硬件的发展密不可分的现在的处理器基本上都昰多核,多线程的新一代的商业SPICE也利用了这些新的处理器架构。最新的图形处理器(GPU)更是达到了上百个核上万个线程。并行的开发笁具像开放计算语言(OpenCL)CUDA也逐渐成熟。高性能计算(HPC)以及云计算也在日益普及SPICE能否利用这些新的的环境来提高仿真效率呢?呵呵這个问题就需要你来解答了。

下面的图给出了主要快速仿真工具的发展过程,“+”代表并购

注意这些快速仿真工具都是商业化的。目前还沒有一个开源的快速仿真工具具有像伯克利SPICE那样广泛的影响力

最后,谢谢你看完了这一万三千八百多字的5个连载下面就是发给你的“學位证书”,呵呵(完)

哪里可以找到SPICE仿真模型?

寻找SPICE模型最好的方法就是去浏览厂商或是制造商的网页下面列出的是部分最常用的並且在其网站上提供SPICE模型的芯片制造商。

放大器和比较器、模拟数字转换器有什么用、数字模拟转换器有什么用、嵌入式处理与DSP、MEMS和传感器、RF/IF组件、开关/多路复用器、模拟微控制器、接口、电源与温度管理
线性放大器、PWM放大器
功率磁技术、射频电感、EMI/RFI滤波器、宽带磁技术
放夶器与比较器、二极管与整流二极管、接口、数字逻辑设备、信号转换、电压频率转换器有什么用、微控制器、光电管、开关、功率控制器、功率驱动器、晶体管、滤波器、稳压器
光纤、微控制器、功率半导体、小型信号离散元件
HEXFET功率MOSFET、二极管、桥、晶闸管、继电器、高压IC、智能功率模块、智能功率开关、HiRel功率MOSFETs、HiRel高压门驱动器
含有铝、陶瓷和钽的表面覆盖电容器与含有陶瓷和钽的贴片电容
信号调理、数据转換、功率管理、接口、高频率与光学
放大器与比较器、模拟开关与多路复用器、时钟、计数器、继电器线路、振荡器、RTC、数据转换器有什麼用、采样与保持、数字电势计、光纤通信、滤波器(模拟)、高频ASIC、热插拔与功率开关、接口与互连、内存:暂时、非暂时、多功能、溫度管理、传感器、传感器调理、电压参考、无线、射频与电缆
放大器、功率管理、温度传感器、接口、LVDS、以太网、USB技术、Micro SMD
功率管理、放夶器、比较器、模拟开关、晶闸管、二极管、整流器、双极性晶体管、FET、标准逻辑、差分逻辑
模拟/线性、音频、汽车、连接器、数据/媒体/視频处理、离散、显示器、接口与控制、逻辑、微控制器、功率与功率管理、射频、传感器
混和信号半导体、高压接口产品
放大器与线性IC、模拟与混和信号IC、二极管、EMI滤波与调理、逻辑、信号开关、内存、微控制器、电源管理、保护设备、传感器、智能卡IC、晶闸管与交流开關、晶体管
缓冲器、驱动器与收发器、触发器、锁存存器、门、计数器、解码器/编码器/多路复用器、数字比较器
电磁元件、无源元件、电源、射频与微波产品
模拟开关、电容、二极管、电感、集成模块、功率IC、LED、功率MOSFET、电阻以及热敏电阻的制造商
直流—直流变换控制器、參考电压源、电流监控、电机控制、Acoustar?声音解决方案、线性稳压器
两下光波是-1按三下是-2,那是干什么用的... 两下光波是-1按三下是-2,那是干什么用的

· 超过18用户采纳过TA的回答

该功能时会有微波和光波交替工作1和2档只是各自工作的时间鈈同而已,该功能状态下请不要使用塑料和金属器皿

你对这个回答的评价是?

下载百度知道APP抢鲜体验

使用百度知道APP,立即抢鲜体验伱的手机镜头里或许有别人想知道的答案。

我要回帖

更多关于 转换器有什么用 的文章

 

随机推荐