金尊皇帝油有用过的吗Xilinx的ISE的吗

21ic官方微信 -->
如何使用Xilinx中ise原语?
1、IBUFGDS输入全局时钟及DCM分频使用:
IBUFGDS #(
.DIFF_TERM(&FALSE&), // DifferenTIal TerminaTIon (Virtex-4/5,
Spartan-3E/3A)
.IOSTANDARD(&DEFAULT&) // Specifies the I/O standard for this buffer
) IBUFGDS_inst (
.O(CLK_SYS), // Clock buffer output
.I(CLKP_SYS), // Diff_p clock buffer input
.IB(CLKN_SYS) // Diff_n clock buffer input
DCM_BASE #(
.CLKDV_DIVIDE(2.0), // Divide by:
1.5,2.0,2.5,3.0,3.5,4.0,4.5,5.0,5.5,6.0,6.5
// 7.0,7.5,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0 or 16.0
.CLKFX_DIVIDE(3), // Can be any integer from 1 to 32
.CLKFX_MULTIPLY(2), // Can be any integer from 2 to 32
.CLKIN_DIVIDE_BY_2(&FALSE&), // TRUE/FALSE to enable CLKIN divide by two
.CLKIN_PERIOD(8.14),//(10.0), // Specify period of input clock in ns from
1.25 to 1000.00
.CLKOUT_PHASE_SHIFT(&NONE&), // Specify phase shift mode of NONE or FIXED
.CLK_FEEDBACK(&1X&), // Specify clock feedback of NONE, 1X or 2X
.DCM_PERFORMANCE_MODE(&MAX_SPEED&), // Can be MAX_SPEED or MAX_RANGE
.DESKEW_ADJUST(&SYSTEM_SYNCHRONOUS&), // SOURCE_SYNCHRONOUS,
SYSTEM_SYNCHRONOUS or
// an integer from 0 to 15
.DFS_FREQUENCY_MODE(&LOW&), // LOW or HIGH frequency mode for frequency
.DLL_FREQUENCY_MODE(&LOW&), // LOW, HIGH, or HIGH_SER frequency mode for
.DUTY_CYCLE_CORRECTION(&TRUE&), // Duty cycle correction, TRUE or FALSE
.FACTORY_JF(16'hf0f0), // FACTORY JF value suggested to be set to
.PHASE_SHIFT(0), // Amount of fixed phase shift from -255 to 1023
.STARTUP_WAIT(&FALSE&) // Delay configuration DONE until DCM LOCK,
TRUE/FALSE
) DCM_BASE_inst (
.CLK0(CLK0), // 0 degree DCM CLK output
.CLK180(CLK180), // 180 degree DCM CLK output
.CLK270(CLK270), // 270 degree DCM CLK output
.CLK2X(CLK2X), // 2X DCM CLK output
.CLK2X180(CLK2X180), // 2X, 180 degree DCM CLK out
.CLK90(CLK90), // 90 degree DCM CLK output
.CLKDV(clk4608), // Divided DCM CLK out (CLKDV_DIVIDE)
.CLKFX(clk), // DCM CLK synthesis out (M/D)
.CLKFX180(CLKFX180), // 180 degree CLK synthesis out
.LOCKED(LOCKED), // DCM LOCK status output
.CLKFB(CLK0), // DCM clock feedback
.CLKIN(CLK_SYS), // Clock input (from IBUFG, BUFG or DCM)
.RST(1'b0) // DCM asynchronous reset input
2、ODDR、IDDR单边缘与双边缘触发的转换。
单边缘输入双边缘输出:
.DDR_CLK_EDGE(&OPPOSITE_EDGE&), // &OPPOSITE_EDGE& or &SAME_EDGE&
.INIT(1'b0), // Initial value of Q: 1'b0 or 1'b1
.SRTYPE(&SYNC&) // Set/Reset type: &SYNC& or &ASYNC&
) ODDR_inst0 (
.Q(DataOut[0]), // 1-bit DDR output
.C(Clk), // 1-bit clock input
.CE(CE), // 1-bit clock enable input
.D1(DataIn[0]), // 1-bit data input (positive edge)
.D2(DataIn[8]), // 1-bit data input (negative edge)
.R(Reset), // 1-bit reset
.S(Set) // 1-bit set
双边缘输入,单边缘输出:
.DDR_CLK_EDGE(&OPPOSITE_EDGE&), // &OPPOSITE_EDGE&, &SAME_EDGE&
// or &SAME_EDGE_PIPELINED&
.INIT_Q1(1'b0), // Initial value of Q1: 1'b0 or 1'b1
.INIT_Q2(1'b0), // Initial value of Q2: 1'b0 or 1'b1
.SRTYPE(&SYNC&) // Set/Reset type: &SYNC& or &ASYNC&
) IDDR_inst1 (
.Q1(DataOutL[1]), // 1-bit output for positive edge of clock
.Q2(DataOutH[1]), // 1-bit output for negative edge of clock
.C(Clk), // 1-bit clock input
.CE(CE), // 1-bit clock enable input
.D(DataIn[1]), // 1-bit DDR data input
.R(Reset), // 1-bit reset
.S(Set) // 1-bit set
All Programmable技术和器件的全球领先企业赛灵思公司(Xilinx, Inc.,NASDAQ:XLNX))在正在举行的2017年嵌入式世界大会(2017 Embedded World)上演示了响应最快且可重配置的视觉导向智能系......关键字:
21ic嵌入式讯 赛灵思今天宣布即日发布首期《赛灵思中国通讯软件刊》,这是一本面向应用和嵌入式软件开发人员的季刊杂志,致力于帮助他们打造更智能、互联和差异化的下一代系......关键字:
21ic讯 All Programmable技术和器件的全球领先企业赛灵思公司(Xilinx, Inc. (NASDAQ:XLNX))今天宣布推出正式版(Public
Access Release)SDSoC&开发环境......关键字:
赛灵思开源硬件与嵌入式大赛创意纷呈......关键字:
一直有消息称,iPhone 8要抛弃物理Home键,事实真是如此吗,现在证据来了。......关键字:
我 要 评 论
大家都爱看
外媒近日发表文章称,自动化和机器人确实在一定程度上扼杀就业,但美国需要以长远眼光审视这些技术。它们能够让企业降低劳动力成本,加强质量控制,提高产量,保持竞争力,…
我国云计算起步虽晚,但发展迅猛。时至今日,“云计算”再也不是虚无缥缈的概念了,而是变成了实实在在的产业。国内云计算的行业走势,愈来愈接近硝烟未散的电商市场,创业公司、传统企业与互联…
在竞争对手包括台积电、三星、格罗方德等不但陆续宣布在 10 纳米制程进行量产之外,还持续布局 7 纳米制程,甚至更先进的 5 纳米、3 纳米制程。反观半导体龙头英特尔 (Intel) 对每一代处理器的…
东芝(Toshiba)以 NAND 型快闪存储器(Flash Memory)为主轴的存储器事业(含 SSD 事业、不含影像感测器)将分拆出去成立新公司“东芝存储器(Toshiba Memory)”,且为了出售“东芝存储器”过半数股权…
虽着近来资料存储的需求飙升,全球存储器制造商产能引发供应瓶颈,正在经历超级周期。包括 NAND Flash 和 DRAM 存储器价格涨幅接近 50% 到 60%,市场报价还在持续上扬。这情况也带动日前连跌落…
业界早知道
06-0506-0506-0506-0506-0506-05
精读涨姿势
03-2309-0806-0802-1710-1606-08Service Unavailable
Service Unavailable
HTTP Error 503. The service is unavailable.FPGA成神之路 ----- 菜鸟的武器(ISE开发使用) | 电子创新网赛灵思中文社区Xilinx ISE使用第三方编辑器:notepad++结合quicktext - 简书
Xilinx ISE使用第三方编辑器:notepad++结合quicktext
在ISE中使用第三方编辑器:Edit-&Preferences-&Editors,选择CustomCommand line syntax:写入正确的路径和参数,-n$2可以用来高亮错误位置, 如
{C:/Program Files (x86)/Notepad++/Notepad++.exe} $1 -n$2
Notepad++中加入quicktext, QuickText.UNI.dll复制到notepad++的安装目录Notepad++/plugins下QuickText.ini复制到Notepad++/plugins/Config目录下启动notepad++就可以在插件下看到quicktext了,点击options,选择verilog来配置自己的语言
QuickText配置技巧Quick可以使用Tab键补充内容和光标
在语言中选择Verilog,Tag Name下输入b,Substitution Text输入:
点击Add,OK后在.v文件中输入b+Tab就会补充完整并把光标移动到$处,当begin内容写完后再按一次Tab光标就会跳到第二个$处,不用方向键就越过end又如:TagName:module:Sub Text:
module $ ($);$$endmodule
输入module按Tab则补充完整整个module处,并把光标移动到第一个$处,填充完名字后Tab光标跳到第二个$处,再按一次Tab光标跳到第三个$处
Keep moving

我要回帖

更多关于 莱威特轮胎有用过的吗 的文章

 

随机推荐