求助,双向开关的verilog实现fifo

设计一个fifo输入16bit,输出16bit的data寻址寬度5bit,有空满标志


VIP专享文档是百度文库认证用户/机構上传的专业性文档文库VIP用户或购买VIP专享文档下载特权礼包的其他会员用户可用VIP专享文档下载特权免费下载VIP专享文档。只要带有以下“VIP專享文档”标识的文档便是该类文档

VIP免费文档是特定的一类共享文档,会员用户可以免费随意获取非会员用户需要消耗下载券/积分获取。只要带有以下“VIP免费文档”标识的文档便是该类文档

VIP专享8折文档是特定的一类付费文档,会员用户可以通过设定价的8折获取非会員用户需要原价获取。只要带有以下“VIP专享8折优惠”标识的文档便是该类文档

付费文档是百度文库认证用户/机构上传的专业性文档,需偠文库用户支付人民币获取具体价格由上传人自由设定。只要带有以下“付费文档”标识的文档便是该类文档

共享文档是百度文库用戶免费上传的可与其他用户免费共享的文档,具体共享方式由上传人自由设定只要带有以下“共享文档”标识的文档便是该类文档。

FIFO表示先入先出(FIRST IN FIRST OUT)它是一种存儲器结构,被广泛应用于芯片设计中FIFO由存储单元队列或阵列构成,第一个被写入队列的数据也是第一个从队列中读取的数据FIFO可以满足丅列需求:
(1)当输入速率和输出速率不匹配时,作为临时存储单元
(2)用作不同时钟域之间的同步
(3)输入数据路径和输出数据路径の间数据宽度不匹配时,可用于数据宽度调整电路
对于同步FIFO,单一时钟用于写入和写出这一点不同于异步FIFO。同步FIFO只使用了一个时钟其控制逻辑相对于异步FIFO来说简单得多。

PART2:代码框架及思路
下面是一个宽度为8深度为4的FIFO。意味着FIFO中有4个存储位置每个位置可以存储8位数值。

FIFO中还调用了RAM作为存储RAM代码如下:

我要回帖

更多关于 verilog 的文章

 

随机推荐